Merge remote-tracking branch 'upstream/master'
[yosys.git] / backends / ilang / ilang_backend.cc
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 * ---
19 *
20 * A very simple and straightforward backend for the RTLIL text
21 * representation (as understood by the 'ilang' frontend).
22 *
23 */
24
25 #include "ilang_backend.h"
26 #include "kernel/yosys.h"
27 #include <errno.h>
28
29 USING_YOSYS_NAMESPACE
30 using namespace ILANG_BACKEND;
31 YOSYS_NAMESPACE_BEGIN
32
33 void ILANG_BACKEND::dump_const(std::ostream &f, const RTLIL::Const &data, int width, int offset, bool autoint)
34 {
35 if (width < 0)
36 width = data.bits.size() - offset;
37 if ((data.flags & RTLIL::CONST_FLAG_STRING) == 0 || width != (int)data.bits.size()) {
38 if (width == 32 && autoint) {
39 int32_t val = 0;
40 for (int i = 0; i < width; i++) {
41 log_assert(offset+i < (int)data.bits.size());
42 switch (data.bits[offset+i]) {
43 case RTLIL::S0: break;
44 case RTLIL::S1: val |= 1 << i; break;
45 default: val = -1; break;
46 }
47 }
48 if (val >= 0) {
49 f << stringf("%d", val);
50 return;
51 }
52 }
53 f << stringf("%d'", width);
54 for (int i = offset+width-1; i >= offset; i--) {
55 log_assert(i < (int)data.bits.size());
56 switch (data.bits[i]) {
57 case RTLIL::S0: f << stringf("0"); break;
58 case RTLIL::S1: f << stringf("1"); break;
59 case RTLIL::Sx: f << stringf("x"); break;
60 case RTLIL::Sz: f << stringf("z"); break;
61 case RTLIL::Sa: f << stringf("-"); break;
62 case RTLIL::Sm: f << stringf("m"); break;
63 }
64 }
65 } else {
66 f << stringf("\"");
67 std::string str = data.decode_string();
68 for (size_t i = 0; i < str.size(); i++) {
69 if (str[i] == '\n')
70 f << stringf("\\n");
71 else if (str[i] == '\t')
72 f << stringf("\\t");
73 else if (str[i] < 32)
74 f << stringf("\\%03o", str[i]);
75 else if (str[i] == '"')
76 f << stringf("\\\"");
77 else if (str[i] == '\\')
78 f << stringf("\\\\");
79 else
80 f << str[i];
81 }
82 f << stringf("\"");
83 }
84 }
85
86 void ILANG_BACKEND::dump_sigchunk(std::ostream &f, const RTLIL::SigChunk &chunk, bool autoint)
87 {
88 if (chunk.wire == NULL) {
89 dump_const(f, chunk.data, chunk.width, chunk.offset, autoint);
90 } else {
91 if (chunk.width == chunk.wire->width && chunk.offset == 0)
92 f << stringf("%s", chunk.wire->name.c_str());
93 else if (chunk.width == 1)
94 f << stringf("%s [%d]", chunk.wire->name.c_str(), chunk.offset);
95 else
96 f << stringf("%s [%d:%d]", chunk.wire->name.c_str(), chunk.offset+chunk.width-1, chunk.offset);
97 }
98 }
99
100 void ILANG_BACKEND::dump_sigspec(std::ostream &f, const RTLIL::SigSpec &sig, bool autoint)
101 {
102 if (sig.is_chunk()) {
103 dump_sigchunk(f, sig.as_chunk(), autoint);
104 } else {
105 f << stringf("{ ");
106 for (auto it = sig.chunks().rbegin(); it != sig.chunks().rend(); ++it) {
107 dump_sigchunk(f, *it, false);
108 f << stringf(" ");
109 }
110 f << stringf("}");
111 }
112 }
113
114 void ILANG_BACKEND::dump_wire(std::ostream &f, std::string indent, const RTLIL::Wire *wire)
115 {
116 for (auto &it : wire->attributes) {
117 f << stringf("%s" "attribute %s ", indent.c_str(), it.first.c_str());
118 dump_const(f, it.second);
119 f << stringf("\n");
120 }
121 f << stringf("%s" "wire ", indent.c_str());
122 if (wire->width != 1)
123 f << stringf("width %d ", wire->width);
124 if (wire->upto)
125 f << stringf("upto ");
126 if (wire->start_offset != 0)
127 f << stringf("offset %d ", wire->start_offset);
128 if (wire->port_input && !wire->port_output)
129 f << stringf("input %d ", wire->port_id);
130 if (!wire->port_input && wire->port_output)
131 f << stringf("output %d ", wire->port_id);
132 if (wire->port_input && wire->port_output)
133 f << stringf("inout %d ", wire->port_id);
134 f << stringf("%s\n", wire->name.c_str());
135 }
136
137 void ILANG_BACKEND::dump_memory(std::ostream &f, std::string indent, const RTLIL::Memory *memory)
138 {
139 for (auto &it : memory->attributes) {
140 f << stringf("%s" "attribute %s ", indent.c_str(), it.first.c_str());
141 dump_const(f, it.second);
142 f << stringf("\n");
143 }
144 f << stringf("%s" "memory ", indent.c_str());
145 if (memory->width != 1)
146 f << stringf("width %d ", memory->width);
147 if (memory->size != 0)
148 f << stringf("size %d ", memory->size);
149 if (memory->start_offset != 0)
150 f << stringf("offset %d ", memory->start_offset);
151 f << stringf("%s\n", memory->name.c_str());
152 }
153
154 void ILANG_BACKEND::dump_cell(std::ostream &f, std::string indent, const RTLIL::Cell *cell)
155 {
156 for (auto &it : cell->attributes) {
157 f << stringf("%s" "attribute %s ", indent.c_str(), it.first.c_str());
158 dump_const(f, it.second);
159 f << stringf("\n");
160 }
161 f << stringf("%s" "cell %s %s\n", indent.c_str(), cell->type.c_str(), cell->name.c_str());
162 for (auto &it : cell->parameters) {
163 f << stringf("%s parameter%s %s ", indent.c_str(), (it.second.flags & RTLIL::CONST_FLAG_SIGNED) != 0 ? " signed" : "", it.first.c_str());
164 dump_const(f, it.second);
165 f << stringf("\n");
166 }
167 for (auto &it : cell->connections()) {
168 f << stringf("%s connect %s ", indent.c_str(), it.first.c_str());
169 dump_sigspec(f, it.second);
170 f << stringf("\n");
171 }
172 f << stringf("%s" "end\n", indent.c_str());
173 }
174
175 void ILANG_BACKEND::dump_proc_case_body(std::ostream &f, std::string indent, const RTLIL::CaseRule *cs)
176 {
177 for (auto it = cs->actions.begin(); it != cs->actions.end(); ++it)
178 {
179 f << stringf("%s" "assign ", indent.c_str());
180 dump_sigspec(f, it->first);
181 f << stringf(" ");
182 dump_sigspec(f, it->second);
183 f << stringf("\n");
184 }
185
186 for (auto it = cs->switches.begin(); it != cs->switches.end(); ++it)
187 dump_proc_switch(f, indent, *it);
188 }
189
190 void ILANG_BACKEND::dump_proc_switch(std::ostream &f, std::string indent, const RTLIL::SwitchRule *sw)
191 {
192 for (auto it = sw->attributes.begin(); it != sw->attributes.end(); ++it) {
193 f << stringf("%s" "attribute %s ", indent.c_str(), it->first.c_str());
194 dump_const(f, it->second);
195 f << stringf("\n");
196 }
197
198 f << stringf("%s" "switch ", indent.c_str());
199 dump_sigspec(f, sw->signal);
200 f << stringf("\n");
201
202 for (auto it = sw->cases.begin(); it != sw->cases.end(); ++it)
203 {
204 f << stringf("%s case ", indent.c_str());
205 for (size_t i = 0; i < (*it)->compare.size(); i++) {
206 if (i > 0)
207 f << stringf(" , ");
208 dump_sigspec(f, (*it)->compare[i]);
209 }
210 f << stringf("\n");
211
212 dump_proc_case_body(f, indent + " ", *it);
213 }
214
215 f << stringf("%s" "end\n", indent.c_str());
216 }
217
218 void ILANG_BACKEND::dump_proc_sync(std::ostream &f, std::string indent, const RTLIL::SyncRule *sy)
219 {
220 f << stringf("%s" "sync ", indent.c_str());
221 switch (sy->type) {
222 case RTLIL::ST0: f << stringf("low ");
223 if (0) case RTLIL::ST1: f << stringf("high ");
224 if (0) case RTLIL::STp: f << stringf("posedge ");
225 if (0) case RTLIL::STn: f << stringf("negedge ");
226 if (0) case RTLIL::STe: f << stringf("edge ");
227 dump_sigspec(f, sy->signal);
228 f << stringf("\n");
229 break;
230 case RTLIL::STa: f << stringf("always\n"); break;
231 case RTLIL::STg: f << stringf("global\n"); break;
232 case RTLIL::STi: f << stringf("init\n"); break;
233 }
234
235 for (auto it = sy->actions.begin(); it != sy->actions.end(); ++it) {
236 f << stringf("%s update ", indent.c_str());
237 dump_sigspec(f, it->first);
238 f << stringf(" ");
239 dump_sigspec(f, it->second);
240 f << stringf("\n");
241 }
242 }
243
244 void ILANG_BACKEND::dump_proc(std::ostream &f, std::string indent, const RTLIL::Process *proc)
245 {
246 for (auto it = proc->attributes.begin(); it != proc->attributes.end(); ++it) {
247 f << stringf("%s" "attribute %s ", indent.c_str(), it->first.c_str());
248 dump_const(f, it->second);
249 f << stringf("\n");
250 }
251 f << stringf("%s" "process %s\n", indent.c_str(), proc->name.c_str());
252 dump_proc_case_body(f, indent + " ", &proc->root_case);
253 for (auto it = proc->syncs.begin(); it != proc->syncs.end(); ++it)
254 dump_proc_sync(f, indent + " ", *it);
255 f << stringf("%s" "end\n", indent.c_str());
256 }
257
258 void ILANG_BACKEND::dump_conn(std::ostream &f, std::string indent, const RTLIL::SigSpec &left, const RTLIL::SigSpec &right)
259 {
260 f << stringf("%s" "connect ", indent.c_str());
261 dump_sigspec(f, left);
262 f << stringf(" ");
263 dump_sigspec(f, right);
264 f << stringf("\n");
265 }
266
267 void ILANG_BACKEND::dump_module(std::ostream &f, std::string indent, RTLIL::Module *module, RTLIL::Design *design, bool only_selected, bool flag_m, bool flag_n)
268 {
269 bool print_header = flag_m || design->selected_whole_module(module->name);
270 bool print_body = !flag_n || !design->selected_whole_module(module->name);
271
272 if (print_header)
273 {
274 for (auto it = module->attributes.begin(); it != module->attributes.end(); ++it) {
275 f << stringf("%s" "attribute %s ", indent.c_str(), it->first.c_str());
276 dump_const(f, it->second);
277 f << stringf("\n");
278 }
279
280 f << stringf("%s" "module %s\n", indent.c_str(), module->name.c_str());
281
282 if (!module->avail_parameters.empty()) {
283 if (only_selected)
284 f << stringf("\n");
285 for (auto &p : module->avail_parameters)
286 f << stringf("%s" " parameter %s\n", indent.c_str(), p.c_str());
287 }
288 }
289
290 if (print_body)
291 {
292 for (auto it : module->wires())
293 if (!only_selected || design->selected(module, it)) {
294 if (only_selected)
295 f << stringf("\n");
296 dump_wire(f, indent + " ", it);
297 }
298
299 for (auto it : module->memories)
300 if (!only_selected || design->selected(module, it.second)) {
301 if (only_selected)
302 f << stringf("\n");
303 dump_memory(f, indent + " ", it.second);
304 }
305
306 for (auto it : module->cells())
307 if (!only_selected || design->selected(module, it)) {
308 if (only_selected)
309 f << stringf("\n");
310 dump_cell(f, indent + " ", it);
311 }
312
313 for (auto it : module->processes)
314 if (!only_selected || design->selected(module, it.second)) {
315 if (only_selected)
316 f << stringf("\n");
317 dump_proc(f, indent + " ", it.second);
318 }
319
320 bool first_conn_line = true;
321 for (auto it = module->connections().begin(); it != module->connections().end(); ++it) {
322 bool show_conn = !only_selected;
323 if (only_selected) {
324 RTLIL::SigSpec sigs = it->first;
325 sigs.append(it->second);
326 for (auto &c : sigs.chunks()) {
327 if (c.wire == NULL || !design->selected(module, c.wire))
328 continue;
329 show_conn = true;
330 }
331 }
332 if (show_conn) {
333 if (only_selected && first_conn_line)
334 f << stringf("\n");
335 dump_conn(f, indent + " ", it->first, it->second);
336 first_conn_line = false;
337 }
338 }
339 }
340
341 if (print_header)
342 f << stringf("%s" "end\n", indent.c_str());
343 }
344
345 void ILANG_BACKEND::dump_design(std::ostream &f, RTLIL::Design *design, bool only_selected, bool flag_m, bool flag_n)
346 {
347 #ifndef NDEBUG
348 int init_autoidx = autoidx;
349 #endif
350
351 if (!flag_m) {
352 int count_selected_mods = 0;
353 for (auto it = design->modules_.begin(); it != design->modules_.end(); ++it) {
354 if (design->selected_whole_module(it->first))
355 flag_m = true;
356 if (design->selected(it->second))
357 count_selected_mods++;
358 }
359 if (count_selected_mods > 1)
360 flag_m = true;
361 }
362
363 if (!only_selected || flag_m) {
364 if (only_selected)
365 f << stringf("\n");
366 f << stringf("autoidx %d\n", autoidx);
367 }
368
369 for (auto it = design->modules_.begin(); it != design->modules_.end(); ++it) {
370 if (!only_selected || design->selected(it->second)) {
371 if (only_selected)
372 f << stringf("\n");
373 dump_module(f, "", it->second, design, only_selected, flag_m, flag_n);
374 }
375 }
376
377 log_assert(init_autoidx == autoidx);
378 }
379
380 YOSYS_NAMESPACE_END
381 PRIVATE_NAMESPACE_BEGIN
382
383 struct IlangBackend : public Backend {
384 IlangBackend() : Backend("ilang", "write design to ilang file") { }
385 void help() YS_OVERRIDE
386 {
387 // |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
388 log("\n");
389 log(" write_ilang [filename]\n");
390 log("\n");
391 log("Write the current design to an 'ilang' file. (ilang is a text representation\n");
392 log("of a design in yosys's internal format.)\n");
393 log("\n");
394 log(" -selected\n");
395 log(" only write selected parts of the design.\n");
396 log("\n");
397 }
398 void execute(std::ostream *&f, std::string filename, std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
399 {
400 bool selected = false;
401
402 log_header(design, "Executing ILANG backend.\n");
403
404 size_t argidx;
405 for (argidx = 1; argidx < args.size(); argidx++) {
406 std::string arg = args[argidx];
407 if (arg == "-selected") {
408 selected = true;
409 continue;
410 }
411 break;
412 }
413 extra_args(f, filename, args, argidx);
414
415 design->sort();
416
417 log("Output filename: %s\n", filename.c_str());
418 *f << stringf("# Generated by %s\n", yosys_version_str);
419 ILANG_BACKEND::dump_design(*f, design, selected, true, false);
420 }
421 } IlangBackend;
422
423 struct DumpPass : public Pass {
424 DumpPass() : Pass("dump", "print parts of the design in ilang format") { }
425 void help() YS_OVERRIDE
426 {
427 // |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
428 log("\n");
429 log(" dump [options] [selection]\n");
430 log("\n");
431 log("Write the selected parts of the design to the console or specified file in\n");
432 log("ilang format.\n");
433 log("\n");
434 log(" -m\n");
435 log(" also dump the module headers, even if only parts of a single\n");
436 log(" module is selected\n");
437 log("\n");
438 log(" -n\n");
439 log(" only dump the module headers if the entire module is selected\n");
440 log("\n");
441 log(" -o <filename>\n");
442 log(" write to the specified file.\n");
443 log("\n");
444 log(" -a <filename>\n");
445 log(" like -outfile but append instead of overwrite\n");
446 log("\n");
447 }
448 void execute(std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
449 {
450 std::string filename;
451 bool flag_m = false, flag_n = false, append = false;
452
453 size_t argidx;
454 for (argidx = 1; argidx < args.size(); argidx++)
455 {
456 std::string arg = args[argidx];
457 if ((arg == "-o" || arg == "-outfile") && argidx+1 < args.size()) {
458 filename = args[++argidx];
459 append = false;
460 continue;
461 }
462 if ((arg == "-a" || arg == "-append") && argidx+1 < args.size()) {
463 filename = args[++argidx];
464 append = true;
465 continue;
466 }
467 if (arg == "-m") {
468 flag_m = true;
469 continue;
470 }
471 if (arg == "-n") {
472 flag_n = true;
473 continue;
474 }
475 break;
476 }
477 extra_args(args, argidx, design);
478
479 std::ostream *f;
480 std::stringstream buf;
481
482 if (!filename.empty()) {
483 std::ofstream *ff = new std::ofstream;
484 ff->open(filename.c_str(), append ? std::ofstream::app : std::ofstream::trunc);
485 if (ff->fail()) {
486 delete ff;
487 log_error("Can't open file `%s' for writing: %s\n", filename.c_str(), strerror(errno));
488 }
489 f = ff;
490 } else {
491 f = &buf;
492 }
493
494 ILANG_BACKEND::dump_design(*f, design, true, flag_m, flag_n);
495
496 if (!filename.empty()) {
497 delete f;
498 } else {
499 log("%s", buf.str().c_str());
500 }
501 }
502 } DumpPass;
503
504 PRIVATE_NAMESPACE_END