Check that whiteboxes are synthesisable
[yosys.git] / backends / smt2 / .gitignore
1 test_cells