smtbmc: fix bmc with no assertions
[yosys.git] / backends / smt2 / example.ys
1 read_verilog -formal example.v
2 hierarchy; proc; opt; memory -nordff -nomap; opt -fast
3 write_smt2 -bv -mem -wires example.smt2