688535f33a7b3489a9dbad0fc9717d174215f2ad
[yosys.git] / backends / smt2 / smt2.cc
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 */
19
20 #include "kernel/rtlil.h"
21 #include "kernel/register.h"
22 #include "kernel/sigtools.h"
23 #include "kernel/celltypes.h"
24 #include "kernel/log.h"
25 #include <string>
26
27 USING_YOSYS_NAMESPACE
28 PRIVATE_NAMESPACE_BEGIN
29
30 struct Smt2Worker
31 {
32 CellTypes ct;
33 SigMap sigmap;
34 RTLIL::Module *module;
35 bool bvmode, memmode, wiresmode, verbose, statebv, statedt, forallmode;
36 dict<IdString, int> &mod_stbv_width;
37 int idcounter = 0, statebv_width = 0;
38
39 std::vector<std::string> decls, trans, hier, dtmembers;
40 std::map<RTLIL::SigBit, RTLIL::Cell*> bit_driver;
41 std::set<RTLIL::Cell*> exported_cells, hiercells, hiercells_queue;
42 pool<Cell*> recursive_cells, registers;
43
44 pool<SigBit> clock_posedge, clock_negedge;
45 vector<string> ex_state_eq, ex_input_eq;
46
47 std::map<RTLIL::SigBit, std::pair<int, int>> fcache;
48 std::map<Cell*, int> memarrays;
49 std::map<int, int> bvsizes;
50 dict<IdString, char*> ids;
51
52 const char *get_id(IdString n)
53 {
54 if (ids.count(n) == 0) {
55 std::string str = log_id(n);
56 for (int i = 0; i < GetSize(str); i++) {
57 if (str[i] == '\\')
58 str[i] = '/';
59 }
60 ids[n] = strdup(str.c_str());
61 }
62 return ids[n];
63 }
64
65 template<typename T>
66 const char *get_id(T *obj) {
67 return get_id(obj->name);
68 }
69
70 void makebits(std::string name, int width = 0, std::string comment = std::string())
71 {
72 std::string decl_str;
73
74 if (statebv)
75 {
76 if (width == 0) {
77 decl_str = stringf("(define-fun |%s| ((state |%s_s|)) Bool (= ((_ extract %d %d) state) #b1))", name.c_str(), get_id(module), statebv_width, statebv_width);
78 statebv_width += 1;
79 } else {
80 decl_str = stringf("(define-fun |%s| ((state |%s_s|)) (_ BitVec %d) ((_ extract %d %d) state))", name.c_str(), get_id(module), width, statebv_width+width-1, statebv_width);
81 statebv_width += width;
82 }
83 }
84 else if (statedt)
85 {
86 if (width == 0) {
87 decl_str = stringf(" (|%s| Bool)", name.c_str());
88 } else {
89 decl_str = stringf(" (|%s| (_ BitVec %d))", name.c_str(), width);
90 }
91 }
92 else
93 {
94 if (width == 0) {
95 decl_str = stringf("(declare-fun |%s| (|%s_s|) Bool)", name.c_str(), get_id(module));
96 } else {
97 decl_str = stringf("(declare-fun |%s| (|%s_s|) (_ BitVec %d))", name.c_str(), get_id(module), width);
98 }
99 }
100
101 if (!comment.empty())
102 decl_str += " ; " + comment;
103
104 if (statedt)
105 dtmembers.push_back(decl_str + "\n");
106 else
107 decls.push_back(decl_str + "\n");
108 }
109
110 Smt2Worker(RTLIL::Module *module, bool bvmode, bool memmode, bool wiresmode, bool verbose, bool statebv, bool statedt, bool forallmode,
111 dict<IdString, int> &mod_stbv_width, dict<IdString, dict<IdString, pair<bool, bool>>> &mod_clk_cache) :
112 ct(module->design), sigmap(module), module(module), bvmode(bvmode), memmode(memmode), wiresmode(wiresmode),
113 verbose(verbose), statebv(statebv), statedt(statedt), forallmode(forallmode), mod_stbv_width(mod_stbv_width)
114 {
115 pool<SigBit> noclock;
116
117 makebits(stringf("%s_is", get_id(module)));
118
119 for (auto cell : module->cells())
120 for (auto &conn : cell->connections())
121 {
122 if (GetSize(conn.second) == 0)
123 continue;
124
125 bool is_input = ct.cell_input(cell->type, conn.first);
126 bool is_output = ct.cell_output(cell->type, conn.first);
127
128 if (is_output && !is_input)
129 for (auto bit : sigmap(conn.second)) {
130 if (bit_driver.count(bit))
131 log_error("Found multiple drivers for %s.\n", log_signal(bit));
132 bit_driver[bit] = cell;
133 }
134 else if (is_output || !is_input)
135 log_error("Unsupported or unknown directionality on port %s of cell %s.%s (%s).\n",
136 log_id(conn.first), log_id(module), log_id(cell), log_id(cell->type));
137
138 if (cell->type.in("$mem") && conn.first.in("\\RD_CLK", "\\WR_CLK"))
139 {
140 SigSpec clk = sigmap(conn.second);
141 for (int i = 0; i < GetSize(clk); i++)
142 {
143 if (clk[i].wire == nullptr)
144 continue;
145
146 if (cell->getParam(conn.first == "\\RD_CLK" ? "\\RD_CLK_ENABLE" : "\\WR_CLK_ENABLE")[i] != State::S1)
147 continue;
148
149 if (cell->getParam(conn.first == "\\RD_CLK" ? "\\RD_CLK_POLARITY" : "\\WR_CLK_POLARITY")[i] == State::S1)
150 clock_posedge.insert(clk[i]);
151 else
152 clock_negedge.insert(clk[i]);
153 }
154 }
155 else
156 if (cell->type.in("$dff", "$_DFF_P_", "$_DFF_N_") && conn.first.in("\\CLK", "\\C"))
157 {
158 bool posedge = (cell->type == "$_DFF_N_") || (cell->type == "$dff" && cell->getParam("\\CLK_POLARITY").as_bool());
159 for (auto bit : sigmap(conn.second)) {
160 if (posedge)
161 clock_posedge.insert(bit);
162 else
163 clock_negedge.insert(bit);
164 }
165 }
166 else
167 if (mod_clk_cache.count(cell->type) && mod_clk_cache.at(cell->type).count(conn.first))
168 {
169 for (auto bit : sigmap(conn.second)) {
170 if (mod_clk_cache.at(cell->type).at(conn.first).first)
171 clock_posedge.insert(bit);
172 if (mod_clk_cache.at(cell->type).at(conn.first).second)
173 clock_negedge.insert(bit);
174 }
175 }
176 else
177 {
178 for (auto bit : sigmap(conn.second))
179 noclock.insert(bit);
180 }
181 }
182
183 for (auto bit : noclock) {
184 clock_posedge.erase(bit);
185 clock_negedge.erase(bit);
186 }
187
188 for (auto wire : module->wires())
189 {
190 if (!wire->port_input || GetSize(wire) != 1)
191 continue;
192 SigBit bit = sigmap(wire);
193 if (clock_posedge.count(bit))
194 mod_clk_cache[module->name][wire->name].first = true;
195 if (clock_negedge.count(bit))
196 mod_clk_cache[module->name][wire->name].second = true;
197 }
198 }
199
200 ~Smt2Worker()
201 {
202 for (auto &it : ids)
203 free(it.second);
204 ids.clear();
205 }
206
207 const char *get_id(Module *m)
208 {
209 return get_id(m->name);
210 }
211
212 const char *get_id(Cell *c)
213 {
214 return get_id(c->name);
215 }
216
217 const char *get_id(Wire *w)
218 {
219 return get_id(w->name);
220 }
221
222 void register_bool(RTLIL::SigBit bit, int id)
223 {
224 if (verbose) log("%*s-> register_bool: %s %d\n", 2+2*GetSize(recursive_cells), "",
225 log_signal(bit), id);
226
227 sigmap.apply(bit);
228 log_assert(fcache.count(bit) == 0);
229 fcache[bit] = std::pair<int, int>(id, -1);
230 }
231
232 void register_bv(RTLIL::SigSpec sig, int id)
233 {
234 if (verbose) log("%*s-> register_bv: %s %d\n", 2+2*GetSize(recursive_cells), "",
235 log_signal(sig), id);
236
237 log_assert(bvmode);
238 sigmap.apply(sig);
239
240 log_assert(bvsizes.count(id) == 0);
241 bvsizes[id] = GetSize(sig);
242
243 for (int i = 0; i < GetSize(sig); i++) {
244 log_assert(fcache.count(sig[i]) == 0);
245 fcache[sig[i]] = std::pair<int, int>(id, i);
246 }
247 }
248
249 void register_boolvec(RTLIL::SigSpec sig, int id)
250 {
251 if (verbose) log("%*s-> register_boolvec: %s %d\n", 2+2*GetSize(recursive_cells), "",
252 log_signal(sig), id);
253
254 log_assert(bvmode);
255 sigmap.apply(sig);
256 register_bool(sig[0], id);
257
258 for (int i = 1; i < GetSize(sig); i++)
259 sigmap.add(sig[i], RTLIL::State::S0);
260 }
261
262 std::string get_bool(RTLIL::SigBit bit, const char *state_name = "state")
263 {
264 sigmap.apply(bit);
265
266 if (bit.wire == nullptr)
267 return bit == RTLIL::State::S1 ? "true" : "false";
268
269 if (bit_driver.count(bit))
270 export_cell(bit_driver.at(bit));
271 sigmap.apply(bit);
272
273 if (fcache.count(bit) == 0) {
274 if (verbose) log("%*s-> external bool: %s\n", 2+2*GetSize(recursive_cells), "",
275 log_signal(bit));
276 makebits(stringf("%s#%d", get_id(module), idcounter), 0, log_signal(bit));
277 register_bool(bit, idcounter++);
278 }
279
280 auto f = fcache.at(bit);
281 if (f.second >= 0)
282 return stringf("(= ((_ extract %d %d) (|%s#%d| %s)) #b1)", f.second, f.second, get_id(module), f.first, state_name);
283 return stringf("(|%s#%d| %s)", get_id(module), f.first, state_name);
284 }
285
286 std::string get_bool(RTLIL::SigSpec sig, const char *state_name = "state")
287 {
288 return get_bool(sig.as_bit(), state_name);
289 }
290
291 std::string get_bv(RTLIL::SigSpec sig, const char *state_name = "state")
292 {
293 log_assert(bvmode);
294 sigmap.apply(sig);
295
296 std::vector<std::string> subexpr;
297
298 SigSpec orig_sig;
299 while (orig_sig != sig) {
300 for (auto bit : sig)
301 if (bit_driver.count(bit))
302 export_cell(bit_driver.at(bit));
303 orig_sig = sig;
304 sigmap.apply(sig);
305 }
306
307 for (int i = 0, j = 1; i < GetSize(sig); i += j, j = 1)
308 {
309 if (sig[i].wire == nullptr) {
310 while (i+j < GetSize(sig) && sig[i+j].wire == nullptr) j++;
311 subexpr.push_back("#b");
312 for (int k = i+j-1; k >= i; k--)
313 subexpr.back() += sig[k] == RTLIL::State::S1 ? "1" : "0";
314 continue;
315 }
316
317 if (fcache.count(sig[i]) && fcache.at(sig[i]).second == -1) {
318 subexpr.push_back(stringf("(ite %s #b1 #b0)", get_bool(sig[i], state_name).c_str()));
319 continue;
320 }
321
322 if (fcache.count(sig[i])) {
323 auto t1 = fcache.at(sig[i]);
324 while (i+j < GetSize(sig)) {
325 if (fcache.count(sig[i+j]) == 0)
326 break;
327 auto t2 = fcache.at(sig[i+j]);
328 if (t1.first != t2.first)
329 break;
330 if (t1.second+j != t2.second)
331 break;
332 j++;
333 }
334 if (t1.second == 0 && j == bvsizes.at(t1.first))
335 subexpr.push_back(stringf("(|%s#%d| %s)", get_id(module), t1.first, state_name));
336 else
337 subexpr.push_back(stringf("((_ extract %d %d) (|%s#%d| %s))",
338 t1.second + j - 1, t1.second, get_id(module), t1.first, state_name));
339 continue;
340 }
341
342 std::set<RTLIL::SigBit> seen_bits = { sig[i] };
343 while (i+j < GetSize(sig) && sig[i+j].wire && !fcache.count(sig[i+j]) && !seen_bits.count(sig[i+j]))
344 seen_bits.insert(sig[i+j]), j++;
345
346 if (verbose) log("%*s-> external bv: %s\n", 2+2*GetSize(recursive_cells), "",
347 log_signal(sig.extract(i, j)));
348 for (auto bit : sig.extract(i, j))
349 log_assert(bit_driver.count(bit) == 0);
350 makebits(stringf("%s#%d", get_id(module), idcounter), j, log_signal(sig.extract(i, j)));
351 subexpr.push_back(stringf("(|%s#%d| %s)", get_id(module), idcounter, state_name));
352 register_bv(sig.extract(i, j), idcounter++);
353 }
354
355 if (GetSize(subexpr) > 1) {
356 std::string expr = "", end_str = "";
357 for (int i = GetSize(subexpr)-1; i >= 0; i--) {
358 if (i > 0) expr += " (concat", end_str += ")";
359 expr += " " + subexpr[i];
360 }
361 return expr.substr(1) + end_str;
362 } else {
363 log_assert(GetSize(subexpr) == 1);
364 return subexpr[0];
365 }
366 }
367
368 void export_gate(RTLIL::Cell *cell, std::string expr)
369 {
370 RTLIL::SigBit bit = sigmap(cell->getPort("\\Y").as_bit());
371 std::string processed_expr;
372
373 for (char ch : expr) {
374 if (ch == 'A') processed_expr += get_bool(cell->getPort("\\A"));
375 else if (ch == 'B') processed_expr += get_bool(cell->getPort("\\B"));
376 else if (ch == 'C') processed_expr += get_bool(cell->getPort("\\C"));
377 else if (ch == 'D') processed_expr += get_bool(cell->getPort("\\D"));
378 else if (ch == 'S') processed_expr += get_bool(cell->getPort("\\S"));
379 else processed_expr += ch;
380 }
381
382 if (verbose)
383 log("%*s-> import cell: %s\n", 2+2*GetSize(recursive_cells), "", log_id(cell));
384
385 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) Bool %s) ; %s\n",
386 get_id(module), idcounter, get_id(module), processed_expr.c_str(), log_signal(bit)));
387 register_bool(bit, idcounter++);
388 recursive_cells.erase(cell);
389 }
390
391 void export_bvop(RTLIL::Cell *cell, std::string expr, char type = 0)
392 {
393 RTLIL::SigSpec sig_a, sig_b;
394 RTLIL::SigSpec sig_y = sigmap(cell->getPort("\\Y"));
395 bool is_signed = cell->getParam("\\A_SIGNED").as_bool();
396 int width = GetSize(sig_y);
397
398 if (type == 's' || type == 'd' || type == 'b') {
399 width = max(width, GetSize(cell->getPort("\\A")));
400 if (cell->hasPort("\\B"))
401 width = max(width, GetSize(cell->getPort("\\B")));
402 }
403
404 if (cell->hasPort("\\A")) {
405 sig_a = cell->getPort("\\A");
406 sig_a.extend_u0(width, is_signed);
407 }
408
409 if (cell->hasPort("\\B")) {
410 sig_b = cell->getPort("\\B");
411 sig_b.extend_u0(width, is_signed && !(type == 's'));
412 }
413
414 std::string processed_expr;
415
416 for (char ch : expr) {
417 if (ch == 'A') processed_expr += get_bv(sig_a);
418 else if (ch == 'B') processed_expr += get_bv(sig_b);
419 else if (ch == 'P') processed_expr += get_bv(cell->getPort("\\B"));
420 else if (ch == 'L') processed_expr += is_signed ? "a" : "l";
421 else if (ch == 'U') processed_expr += is_signed ? "s" : "u";
422 else processed_expr += ch;
423 }
424
425 if (width != GetSize(sig_y) && type != 'b')
426 processed_expr = stringf("((_ extract %d 0) %s)", GetSize(sig_y)-1, processed_expr.c_str());
427
428 if (verbose)
429 log("%*s-> import cell: %s\n", 2+2*GetSize(recursive_cells), "", log_id(cell));
430
431 if (type == 'b') {
432 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) Bool %s) ; %s\n",
433 get_id(module), idcounter, get_id(module), processed_expr.c_str(), log_signal(sig_y)));
434 register_boolvec(sig_y, idcounter++);
435 } else {
436 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
437 get_id(module), idcounter, get_id(module), GetSize(sig_y), processed_expr.c_str(), log_signal(sig_y)));
438 register_bv(sig_y, idcounter++);
439 }
440
441 recursive_cells.erase(cell);
442 }
443
444 void export_reduce(RTLIL::Cell *cell, std::string expr, bool identity_val)
445 {
446 RTLIL::SigSpec sig_y = sigmap(cell->getPort("\\Y"));
447 std::string processed_expr;
448
449 for (char ch : expr)
450 if (ch == 'A' || ch == 'B') {
451 RTLIL::SigSpec sig = sigmap(cell->getPort(stringf("\\%c", ch)));
452 for (auto bit : sig)
453 processed_expr += " " + get_bool(bit);
454 if (GetSize(sig) == 1)
455 processed_expr += identity_val ? " true" : " false";
456 } else
457 processed_expr += ch;
458
459 if (verbose)
460 log("%*s-> import cell: %s\n", 2+2*GetSize(recursive_cells), "", log_id(cell));
461
462 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) Bool %s) ; %s\n",
463 get_id(module), idcounter, get_id(module), processed_expr.c_str(), log_signal(sig_y)));
464 register_boolvec(sig_y, idcounter++);
465 recursive_cells.erase(cell);
466 }
467
468 void export_cell(RTLIL::Cell *cell)
469 {
470 if (verbose)
471 log("%*s=> export_cell %s (%s) [%s]\n", 2+2*GetSize(recursive_cells), "",
472 log_id(cell), log_id(cell->type), exported_cells.count(cell) ? "old" : "new");
473
474 if (recursive_cells.count(cell))
475 log_error("Found logic loop in module %s! See cell %s.\n", get_id(module), get_id(cell));
476
477 if (exported_cells.count(cell))
478 return;
479
480 exported_cells.insert(cell);
481 recursive_cells.insert(cell);
482
483 if (cell->type == "$initstate")
484 {
485 SigBit bit = sigmap(cell->getPort("\\Y").as_bit());
486 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) Bool (|%s_is| state)) ; %s\n",
487 get_id(module), idcounter, get_id(module), get_id(module), log_signal(bit)));
488 register_bool(bit, idcounter++);
489 recursive_cells.erase(cell);
490 return;
491 }
492
493 if (cell->type.in("$_FF_", "$_DFF_P_", "$_DFF_N_"))
494 {
495 registers.insert(cell);
496 makebits(stringf("%s#%d", get_id(module), idcounter), 0, log_signal(cell->getPort("\\Q")));
497 register_bool(cell->getPort("\\Q"), idcounter++);
498 recursive_cells.erase(cell);
499 return;
500 }
501
502 if (cell->type == "$_BUF_") return export_gate(cell, "A");
503 if (cell->type == "$_NOT_") return export_gate(cell, "(not A)");
504 if (cell->type == "$_AND_") return export_gate(cell, "(and A B)");
505 if (cell->type == "$_NAND_") return export_gate(cell, "(not (and A B))");
506 if (cell->type == "$_OR_") return export_gate(cell, "(or A B)");
507 if (cell->type == "$_NOR_") return export_gate(cell, "(not (or A B))");
508 if (cell->type == "$_XOR_") return export_gate(cell, "(xor A B)");
509 if (cell->type == "$_XNOR_") return export_gate(cell, "(not (xor A B))");
510 if (cell->type == "$_ANDNOT_") return export_gate(cell, "(and A (not B))");
511 if (cell->type == "$_ORNOT_") return export_gate(cell, "(or A (not B))");
512 if (cell->type == "$_MUX_") return export_gate(cell, "(ite S B A)");
513 if (cell->type == "$_AOI3_") return export_gate(cell, "(not (or (and A B) C))");
514 if (cell->type == "$_OAI3_") return export_gate(cell, "(not (and (or A B) C))");
515 if (cell->type == "$_AOI4_") return export_gate(cell, "(not (or (and A B) (and C D)))");
516 if (cell->type == "$_OAI4_") return export_gate(cell, "(not (and (or A B) (or C D)))");
517
518 // FIXME: $lut
519
520 if (bvmode)
521 {
522 if (cell->type.in("$ff", "$dff"))
523 {
524 registers.insert(cell);
525 makebits(stringf("%s#%d", get_id(module), idcounter), GetSize(cell->getPort("\\Q")), log_signal(cell->getPort("\\Q")));
526 register_bv(cell->getPort("\\Q"), idcounter++);
527 recursive_cells.erase(cell);
528 return;
529 }
530
531 if (cell->type.in("$anyconst", "$anyseq", "$allconst", "$allseq"))
532 {
533 registers.insert(cell);
534 string infostr = cell->attributes.count("\\src") ? cell->attributes.at("\\src").decode_string().c_str() : get_id(cell);
535 if (cell->attributes.count("\\reg"))
536 infostr += " " + cell->attributes.at("\\reg").decode_string();
537 decls.push_back(stringf("; yosys-smt2-%s %s#%d %d %s\n", cell->type.c_str() + 1, get_id(module), idcounter, GetSize(cell->getPort("\\Y")), infostr.c_str()));
538 makebits(stringf("%s#%d", get_id(module), idcounter), GetSize(cell->getPort("\\Y")), log_signal(cell->getPort("\\Y")));
539 if (cell->type == "$anyseq")
540 ex_input_eq.push_back(stringf(" (= (|%s#%d| state) (|%s#%d| other_state))", get_id(module), idcounter, get_id(module), idcounter));
541 register_bv(cell->getPort("\\Y"), idcounter++);
542 recursive_cells.erase(cell);
543 return;
544 }
545
546 if (cell->type == "$and") return export_bvop(cell, "(bvand A B)");
547 if (cell->type == "$or") return export_bvop(cell, "(bvor A B)");
548 if (cell->type == "$xor") return export_bvop(cell, "(bvxor A B)");
549 if (cell->type == "$xnor") return export_bvop(cell, "(bvxnor A B)");
550
551 if (cell->type == "$shl") return export_bvop(cell, "(bvshl A B)", 's');
552 if (cell->type == "$shr") return export_bvop(cell, "(bvlshr A B)", 's');
553 if (cell->type == "$sshl") return export_bvop(cell, "(bvshl A B)", 's');
554 if (cell->type == "$sshr") return export_bvop(cell, "(bvLshr A B)", 's');
555
556 if (cell->type.in("$shift", "$shiftx")) {
557 if (cell->getParam("\\B_SIGNED").as_bool()) {
558 return export_bvop(cell, stringf("(ite (bvsge P #b%0*d) "
559 "(bvlshr A B) (bvlshr A (bvneg B)))",
560 GetSize(cell->getPort("\\B")), 0), 's');
561 } else {
562 return export_bvop(cell, "(bvlshr A B)", 's');
563 }
564 }
565
566 if (cell->type == "$lt") return export_bvop(cell, "(bvUlt A B)", 'b');
567 if (cell->type == "$le") return export_bvop(cell, "(bvUle A B)", 'b');
568 if (cell->type == "$ge") return export_bvop(cell, "(bvUge A B)", 'b');
569 if (cell->type == "$gt") return export_bvop(cell, "(bvUgt A B)", 'b');
570
571 if (cell->type == "$ne") return export_bvop(cell, "(distinct A B)", 'b');
572 if (cell->type == "$nex") return export_bvop(cell, "(distinct A B)", 'b');
573 if (cell->type == "$eq") return export_bvop(cell, "(= A B)", 'b');
574 if (cell->type == "$eqx") return export_bvop(cell, "(= A B)", 'b');
575
576 if (cell->type == "$not") return export_bvop(cell, "(bvnot A)");
577 if (cell->type == "$pos") return export_bvop(cell, "A");
578 if (cell->type == "$neg") return export_bvop(cell, "(bvneg A)");
579
580 if (cell->type == "$add") return export_bvop(cell, "(bvadd A B)");
581 if (cell->type == "$sub") return export_bvop(cell, "(bvsub A B)");
582 if (cell->type == "$mul") return export_bvop(cell, "(bvmul A B)");
583 if (cell->type == "$div") return export_bvop(cell, "(bvUdiv A B)", 'd');
584 if (cell->type == "$mod") return export_bvop(cell, "(bvUrem A B)", 'd');
585
586 if (cell->type.in("$reduce_and", "$reduce_or", "$reduce_bool") &&
587 2*GetSize(cell->getPort("\\A").chunks()) < GetSize(cell->getPort("\\A"))) {
588 bool is_and = cell->type == "$reduce_and";
589 string bits(GetSize(cell->getPort("\\A")), is_and ? '1' : '0');
590 return export_bvop(cell, stringf("(%s A #b%s)", is_and ? "=" : "distinct", bits.c_str()), 'b');
591 }
592
593 if (cell->type == "$reduce_and") return export_reduce(cell, "(and A)", true);
594 if (cell->type == "$reduce_or") return export_reduce(cell, "(or A)", false);
595 if (cell->type == "$reduce_xor") return export_reduce(cell, "(xor A)", false);
596 if (cell->type == "$reduce_xnor") return export_reduce(cell, "(not (xor A))", false);
597 if (cell->type == "$reduce_bool") return export_reduce(cell, "(or A)", false);
598
599 if (cell->type == "$logic_not") return export_reduce(cell, "(not (or A))", false);
600 if (cell->type == "$logic_and") return export_reduce(cell, "(and (or A) (or B))", false);
601 if (cell->type == "$logic_or") return export_reduce(cell, "(or A B)", false);
602
603 if (cell->type == "$mux" || cell->type == "$pmux")
604 {
605 int width = GetSize(cell->getPort("\\Y"));
606 std::string processed_expr = get_bv(cell->getPort("\\A"));
607
608 RTLIL::SigSpec sig_b = cell->getPort("\\B");
609 RTLIL::SigSpec sig_s = cell->getPort("\\S");
610 get_bv(sig_b);
611 get_bv(sig_s);
612
613 for (int i = 0; i < GetSize(sig_s); i++)
614 processed_expr = stringf("(ite %s %s %s)", get_bool(sig_s[i]).c_str(),
615 get_bv(sig_b.extract(i*width, width)).c_str(), processed_expr.c_str());
616
617 if (verbose)
618 log("%*s-> import cell: %s\n", 2+2*GetSize(recursive_cells), "", log_id(cell));
619
620 RTLIL::SigSpec sig = sigmap(cell->getPort("\\Y"));
621 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
622 get_id(module), idcounter, get_id(module), width, processed_expr.c_str(), log_signal(sig)));
623 register_bv(sig, idcounter++);
624 recursive_cells.erase(cell);
625 return;
626 }
627
628 // FIXME: $slice $concat
629 }
630
631 if (memmode && cell->type == "$mem")
632 {
633 int arrayid = idcounter++;
634 memarrays[cell] = arrayid;
635
636 int abits = cell->getParam("\\ABITS").as_int();
637 int width = cell->getParam("\\WIDTH").as_int();
638 int rd_ports = cell->getParam("\\RD_PORTS").as_int();
639 int wr_ports = cell->getParam("\\WR_PORTS").as_int();
640
641 bool async_read = false;
642 if (!cell->getParam("\\WR_CLK_ENABLE").is_fully_ones()) {
643 if (!cell->getParam("\\WR_CLK_ENABLE").is_fully_zero())
644 log_error("Memory %s.%s has mixed clocked/nonclocked write ports. This is not supported by \"write_smt2\".\n", log_id(cell), log_id(module));
645 async_read = true;
646 }
647
648 decls.push_back(stringf("; yosys-smt2-memory %s %d %d %d %d %s\n", get_id(cell), abits, width, rd_ports, wr_ports, async_read ? "async" : "sync"));
649
650 string memstate;
651 if (async_read) {
652 memstate = stringf("%s#%d#final", get_id(module), arrayid);
653 } else {
654 memstate = stringf("%s#%d#0", get_id(module), arrayid);
655 }
656
657 if (statebv)
658 {
659 int mem_size = cell->getParam("\\SIZE").as_int();
660 int mem_offset = cell->getParam("\\OFFSET").as_int();
661
662 makebits(memstate, width*mem_size, get_id(cell));
663 decls.push_back(stringf("(define-fun |%s_m %s| ((state |%s_s|)) (_ BitVec %d) (|%s| state))\n",
664 get_id(module), get_id(cell), get_id(module), width*mem_size, memstate.c_str()));
665
666 for (int i = 0; i < rd_ports; i++)
667 {
668 SigSpec addr_sig = cell->getPort("\\RD_ADDR").extract(abits*i, abits);
669 SigSpec data_sig = cell->getPort("\\RD_DATA").extract(width*i, width);
670 std::string addr = get_bv(addr_sig);
671
672 if (cell->getParam("\\RD_CLK_ENABLE").extract(i).as_bool())
673 log_error("Read port %d (%s) of memory %s.%s is clocked. This is not supported by \"write_smt2\"! "
674 "Call \"memory\" with -nordff to avoid this error.\n", i, log_signal(data_sig), log_id(cell), log_id(module));
675
676 decls.push_back(stringf("(define-fun |%s_m:R%dA %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
677 get_id(module), i, get_id(cell), get_id(module), abits, addr.c_str(), log_signal(addr_sig)));
678
679 std::string read_expr = "#b";
680 for (int k = 0; k < width; k++)
681 read_expr += "0";
682
683 for (int k = 0; k < mem_size; k++)
684 read_expr = stringf("(ite (= (|%s_m:R%dA %s| state) #b%s) ((_ extract %d %d) (|%s| state))\n %s)",
685 get_id(module), i, get_id(cell), Const(k+mem_offset, abits).as_string().c_str(),
686 width*(k+1)-1, width*k, memstate.c_str(), read_expr.c_str());
687
688 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) (_ BitVec %d)\n %s) ; %s\n",
689 get_id(module), idcounter, get_id(module), width, read_expr.c_str(), log_signal(data_sig)));
690
691 decls.push_back(stringf("(define-fun |%s_m:R%dD %s| ((state |%s_s|)) (_ BitVec %d) (|%s#%d| state))\n",
692 get_id(module), i, get_id(cell), get_id(module), width, get_id(module), idcounter));
693
694 register_bv(data_sig, idcounter++);
695 }
696 }
697 else
698 {
699 if (statedt)
700 dtmembers.push_back(stringf(" (|%s| (Array (_ BitVec %d) (_ BitVec %d))) ; %s\n",
701 memstate.c_str(), abits, width, get_id(cell)));
702 else
703 decls.push_back(stringf("(declare-fun |%s| (|%s_s|) (Array (_ BitVec %d) (_ BitVec %d))) ; %s\n",
704 memstate.c_str(), get_id(module), abits, width, get_id(cell)));
705
706 decls.push_back(stringf("(define-fun |%s_m %s| ((state |%s_s|)) (Array (_ BitVec %d) (_ BitVec %d)) (|%s| state))\n",
707 get_id(module), get_id(cell), get_id(module), abits, width, memstate.c_str()));
708
709 for (int i = 0; i < rd_ports; i++)
710 {
711 SigSpec addr_sig = cell->getPort("\\RD_ADDR").extract(abits*i, abits);
712 SigSpec data_sig = cell->getPort("\\RD_DATA").extract(width*i, width);
713 std::string addr = get_bv(addr_sig);
714
715 if (cell->getParam("\\RD_CLK_ENABLE").extract(i).as_bool())
716 log_error("Read port %d (%s) of memory %s.%s is clocked. This is not supported by \"write_smt2\"! "
717 "Call \"memory\" with -nordff to avoid this error.\n", i, log_signal(data_sig), log_id(cell), log_id(module));
718
719 decls.push_back(stringf("(define-fun |%s_m:R%dA %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
720 get_id(module), i, get_id(cell), get_id(module), abits, addr.c_str(), log_signal(addr_sig)));
721
722 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) (_ BitVec %d) (select (|%s| state) (|%s_m:R%dA %s| state))) ; %s\n",
723 get_id(module), idcounter, get_id(module), width, memstate.c_str(), get_id(module), i, get_id(cell), log_signal(data_sig)));
724
725 decls.push_back(stringf("(define-fun |%s_m:R%dD %s| ((state |%s_s|)) (_ BitVec %d) (|%s#%d| state))\n",
726 get_id(module), i, get_id(cell), get_id(module), width, get_id(module), idcounter));
727
728 register_bv(data_sig, idcounter++);
729 }
730 }
731
732 registers.insert(cell);
733 recursive_cells.erase(cell);
734 return;
735 }
736
737 Module *m = module->design->module(cell->type);
738
739 if (m != nullptr)
740 {
741 decls.push_back(stringf("; yosys-smt2-cell %s %s\n", get_id(cell->type), get_id(cell->name)));
742 string cell_state = stringf("(|%s_h %s| state)", get_id(module), get_id(cell->name));
743
744 for (auto &conn : cell->connections())
745 {
746 if (GetSize(conn.second) == 0)
747 continue;
748
749 Wire *w = m->wire(conn.first);
750 SigSpec sig = sigmap(conn.second);
751
752 if (w->port_output && !w->port_input) {
753 if (GetSize(w) > 1) {
754 if (bvmode) {
755 makebits(stringf("%s#%d", get_id(module), idcounter), GetSize(w), log_signal(sig));
756 register_bv(sig, idcounter++);
757 } else {
758 for (int i = 0; i < GetSize(w); i++) {
759 makebits(stringf("%s#%d", get_id(module), idcounter), 0, log_signal(sig[i]));
760 register_bool(sig[i], idcounter++);
761 }
762 }
763 } else {
764 makebits(stringf("%s#%d", get_id(module), idcounter), 0, log_signal(sig));
765 register_bool(sig, idcounter++);
766 }
767 }
768 }
769
770 if (statebv)
771 makebits(stringf("%s_h %s", get_id(module), get_id(cell->name)), mod_stbv_width.at(cell->type));
772 else if (statedt)
773 dtmembers.push_back(stringf(" (|%s_h %s| |%s_s|)\n",
774 get_id(module), get_id(cell->name), get_id(cell->type)));
775 else
776 decls.push_back(stringf("(declare-fun |%s_h %s| (|%s_s|) |%s_s|)\n",
777 get_id(module), get_id(cell->name), get_id(module), get_id(cell->type)));
778
779 hiercells.insert(cell);
780 hiercells_queue.insert(cell);
781 recursive_cells.erase(cell);
782 return;
783 }
784
785 log_error("Unsupported cell type %s for cell %s.%s.\n",
786 log_id(cell->type), log_id(module), log_id(cell));
787 }
788
789 void run()
790 {
791 if (verbose) log("=> export logic driving outputs\n");
792
793 pool<SigBit> reg_bits;
794 for (auto cell : module->cells())
795 if (cell->type.in("$ff", "$dff", "$_FF_", "$_DFF_P_", "$_DFF_N_")) {
796 // not using sigmap -- we want the net directly at the dff output
797 for (auto bit : cell->getPort("\\Q"))
798 reg_bits.insert(bit);
799 }
800
801 for (auto wire : module->wires()) {
802 bool is_register = false;
803 for (auto bit : SigSpec(wire))
804 if (reg_bits.count(bit))
805 is_register = true;
806 if (wire->port_id || is_register || wire->get_bool_attribute("\\keep") || (wiresmode && wire->name[0] == '\\')) {
807 RTLIL::SigSpec sig = sigmap(wire);
808 if (wire->port_input)
809 decls.push_back(stringf("; yosys-smt2-input %s %d\n", get_id(wire), wire->width));
810 if (wire->port_output)
811 decls.push_back(stringf("; yosys-smt2-output %s %d\n", get_id(wire), wire->width));
812 if (is_register)
813 decls.push_back(stringf("; yosys-smt2-register %s %d\n", get_id(wire), wire->width));
814 if (wire->get_bool_attribute("\\keep") || (wiresmode && wire->name[0] == '\\'))
815 decls.push_back(stringf("; yosys-smt2-wire %s %d\n", get_id(wire), wire->width));
816 if (GetSize(wire) == 1 && (clock_posedge.count(sig) || clock_negedge.count(sig)))
817 decls.push_back(stringf("; yosys-smt2-clock %s%s%s\n", get_id(wire),
818 clock_posedge.count(sig) ? " posedge" : "", clock_negedge.count(sig) ? " negedge" : ""));
819 if (bvmode && GetSize(sig) > 1) {
820 decls.push_back(stringf("(define-fun |%s_n %s| ((state |%s_s|)) (_ BitVec %d) %s)\n",
821 get_id(module), get_id(wire), get_id(module), GetSize(sig), get_bv(sig).c_str()));
822 if (wire->port_input)
823 ex_input_eq.push_back(stringf(" (= (|%s_n %s| state) (|%s_n %s| other_state))",
824 get_id(module), get_id(wire), get_id(module), get_id(wire)));
825 } else {
826 for (int i = 0; i < GetSize(sig); i++)
827 if (GetSize(sig) > 1) {
828 decls.push_back(stringf("(define-fun |%s_n %s %d| ((state |%s_s|)) Bool %s)\n",
829 get_id(module), get_id(wire), i, get_id(module), get_bool(sig[i]).c_str()));
830 if (wire->port_input)
831 ex_input_eq.push_back(stringf(" (= (|%s_n %s %d| state) (|%s_n %s %d| other_state))",
832 get_id(module), get_id(wire), i, get_id(module), get_id(wire), i));
833 } else {
834 decls.push_back(stringf("(define-fun |%s_n %s| ((state |%s_s|)) Bool %s)\n",
835 get_id(module), get_id(wire), get_id(module), get_bool(sig[i]).c_str()));
836 if (wire->port_input)
837 ex_input_eq.push_back(stringf(" (= (|%s_n %s| state) (|%s_n %s| other_state))",
838 get_id(module), get_id(wire), get_id(module), get_id(wire)));
839 }
840 }
841 }
842 }
843
844 if (verbose) log("=> export logic associated with the initial state\n");
845
846 vector<string> init_list;
847 for (auto wire : module->wires())
848 if (wire->attributes.count("\\init")) {
849 RTLIL::SigSpec sig = sigmap(wire);
850 Const val = wire->attributes.at("\\init");
851 val.bits.resize(GetSize(sig), State::Sx);
852 if (bvmode && GetSize(sig) > 1) {
853 Const mask(State::S1, GetSize(sig));
854 bool use_mask = false;
855 for (int i = 0; i < GetSize(sig); i++)
856 if (val[i] != State::S0 && val[i] != State::S1) {
857 val[i] = State::S0;
858 mask[i] = State::S0;
859 use_mask = true;
860 }
861 if (use_mask)
862 init_list.push_back(stringf("(= (bvand %s #b%s) #b%s) ; %s", get_bv(sig).c_str(), mask.as_string().c_str(), val.as_string().c_str(), get_id(wire)));
863 else
864 init_list.push_back(stringf("(= %s #b%s) ; %s", get_bv(sig).c_str(), val.as_string().c_str(), get_id(wire)));
865 } else {
866 for (int i = 0; i < GetSize(sig); i++)
867 if (val[i] == State::S0 || val[i] == State::S1)
868 init_list.push_back(stringf("(= %s %s) ; %s", get_bool(sig[i]).c_str(), val[i] == State::S1 ? "true" : "false", get_id(wire)));
869 }
870 }
871
872 if (verbose) log("=> export logic driving asserts\n");
873
874 int assert_id = 0, assume_id = 0, cover_id = 0;
875 vector<string> assert_list, assume_list, cover_list;
876
877 for (auto cell : module->cells())
878 {
879 if (cell->type.in("$assert", "$assume", "$cover"))
880 {
881 int &id = cell->type == "$assert" ? assert_id :
882 cell->type == "$assume" ? assume_id :
883 cell->type == "$cover" ? cover_id : *(int*)nullptr;
884
885 char postfix = cell->type == "$assert" ? 'a' :
886 cell->type == "$assume" ? 'u' :
887 cell->type == "$cover" ? 'c' : 0;
888
889 string name_a = get_bool(cell->getPort("\\A"));
890 string name_en = get_bool(cell->getPort("\\EN"));
891 string infostr = (cell->name[0] == '$' && cell->attributes.count("\\src")) ? cell->attributes.at("\\src").decode_string() : get_id(cell);
892 decls.push_back(stringf("; yosys-smt2-%s %d %s\n", cell->type.c_str() + 1, id, infostr.c_str()));
893
894 if (cell->type == "$cover")
895 decls.push_back(stringf("(define-fun |%s_%c %d| ((state |%s_s|)) Bool (and %s %s)) ; %s\n",
896 get_id(module), postfix, id, get_id(module), name_a.c_str(), name_en.c_str(), get_id(cell)));
897 else
898 decls.push_back(stringf("(define-fun |%s_%c %d| ((state |%s_s|)) Bool (or %s (not %s))) ; %s\n",
899 get_id(module), postfix, id, get_id(module), name_a.c_str(), name_en.c_str(), get_id(cell)));
900
901 if (cell->type == "$assert")
902 assert_list.push_back(stringf("(|%s_a %d| state)", get_id(module), id));
903 else if (cell->type == "$assume")
904 assume_list.push_back(stringf("(|%s_u %d| state)", get_id(module), id));
905
906 id++;
907 }
908 }
909
910 if (verbose) log("=> export logic driving hierarchical cells\n");
911
912 for (auto cell : module->cells())
913 if (module->design->module(cell->type) != nullptr)
914 export_cell(cell);
915
916 while (!hiercells_queue.empty())
917 {
918 std::set<RTLIL::Cell*> queue;
919 queue.swap(hiercells_queue);
920
921 for (auto cell : queue)
922 {
923 string cell_state = stringf("(|%s_h %s| state)", get_id(module), get_id(cell->name));
924 Module *m = module->design->module(cell->type);
925 log_assert(m != nullptr);
926
927 hier.push_back(stringf(" (= (|%s_is| state) (|%s_is| %s))\n",
928 get_id(module), get_id(cell->type), cell_state.c_str()));
929
930 for (auto &conn : cell->connections())
931 {
932 if (GetSize(conn.second) == 0)
933 continue;
934
935 Wire *w = m->wire(conn.first);
936 SigSpec sig = sigmap(conn.second);
937
938 if (bvmode || GetSize(w) == 1) {
939 hier.push_back(stringf(" (= %s (|%s_n %s| %s)) ; %s.%s\n", (GetSize(w) > 1 ? get_bv(sig) : get_bool(sig)).c_str(),
940 get_id(cell->type), get_id(w), cell_state.c_str(), get_id(cell->type), get_id(w)));
941 } else {
942 for (int i = 0; i < GetSize(w); i++)
943 hier.push_back(stringf(" (= %s (|%s_n %s %d| %s)) ; %s.%s[%d]\n", get_bool(sig[i]).c_str(),
944 get_id(cell->type), get_id(w), i, cell_state.c_str(), get_id(cell->type), get_id(w), i));
945 }
946 }
947 }
948 }
949
950 for (int iter = 1; !registers.empty(); iter++)
951 {
952 pool<Cell*> this_regs;
953 this_regs.swap(registers);
954
955 if (verbose) log("=> export logic driving registers [iteration %d]\n", iter);
956
957 for (auto cell : this_regs)
958 {
959 if (cell->type.in("$_FF_", "$_DFF_P_", "$_DFF_N_"))
960 {
961 std::string expr_d = get_bool(cell->getPort("\\D"));
962 std::string expr_q = get_bool(cell->getPort("\\Q"), "next_state");
963 trans.push_back(stringf(" (= %s %s) ; %s %s\n", expr_d.c_str(), expr_q.c_str(), get_id(cell), log_signal(cell->getPort("\\Q"))));
964 ex_state_eq.push_back(stringf("(= %s %s)", get_bool(cell->getPort("\\Q")).c_str(), get_bool(cell->getPort("\\Q"), "other_state").c_str()));
965 }
966
967 if (cell->type.in("$ff", "$dff"))
968 {
969 std::string expr_d = get_bv(cell->getPort("\\D"));
970 std::string expr_q = get_bv(cell->getPort("\\Q"), "next_state");
971 trans.push_back(stringf(" (= %s %s) ; %s %s\n", expr_d.c_str(), expr_q.c_str(), get_id(cell), log_signal(cell->getPort("\\Q"))));
972 ex_state_eq.push_back(stringf("(= %s %s)", get_bv(cell->getPort("\\Q")).c_str(), get_bv(cell->getPort("\\Q"), "other_state").c_str()));
973 }
974
975 if (cell->type.in("$anyconst", "$allconst"))
976 {
977 std::string expr_d = get_bv(cell->getPort("\\Y"));
978 std::string expr_q = get_bv(cell->getPort("\\Y"), "next_state");
979 trans.push_back(stringf(" (= %s %s) ; %s %s\n", expr_d.c_str(), expr_q.c_str(), get_id(cell), log_signal(cell->getPort("\\Y"))));
980 if (cell->type == "$anyconst")
981 ex_state_eq.push_back(stringf("(= %s %s)", get_bv(cell->getPort("\\Y")).c_str(), get_bv(cell->getPort("\\Y"), "other_state").c_str()));
982 }
983
984 if (cell->type == "$mem")
985 {
986 int arrayid = memarrays.at(cell);
987
988 int abits = cell->getParam("\\ABITS").as_int();
989 int width = cell->getParam("\\WIDTH").as_int();
990 int wr_ports = cell->getParam("\\WR_PORTS").as_int();
991
992 bool async_read = false;
993 string initial_memstate, final_memstate;
994
995 if (!cell->getParam("\\WR_CLK_ENABLE").is_fully_ones()) {
996 log_assert(cell->getParam("\\WR_CLK_ENABLE").is_fully_zero());
997 async_read = true;
998 initial_memstate = stringf("%s#%d#0", get_id(module), arrayid);
999 final_memstate = stringf("%s#%d#final", get_id(module), arrayid);
1000 }
1001
1002 if (statebv)
1003 {
1004 int mem_size = cell->getParam("\\SIZE").as_int();
1005 int mem_offset = cell->getParam("\\OFFSET").as_int();
1006
1007 if (async_read) {
1008 makebits(final_memstate, width*mem_size, get_id(cell));
1009 }
1010
1011 for (int i = 0; i < wr_ports; i++)
1012 {
1013 SigSpec addr_sig = cell->getPort("\\WR_ADDR").extract(abits*i, abits);
1014 SigSpec data_sig = cell->getPort("\\WR_DATA").extract(width*i, width);
1015 SigSpec mask_sig = cell->getPort("\\WR_EN").extract(width*i, width);
1016
1017 std::string addr = get_bv(addr_sig);
1018 std::string data = get_bv(data_sig);
1019 std::string mask = get_bv(mask_sig);
1020
1021 decls.push_back(stringf("(define-fun |%s_m:W%dA %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1022 get_id(module), i, get_id(cell), get_id(module), abits, addr.c_str(), log_signal(addr_sig)));
1023 addr = stringf("(|%s_m:W%dA %s| state)", get_id(module), i, get_id(cell));
1024
1025 decls.push_back(stringf("(define-fun |%s_m:W%dD %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1026 get_id(module), i, get_id(cell), get_id(module), width, data.c_str(), log_signal(data_sig)));
1027 data = stringf("(|%s_m:W%dD %s| state)", get_id(module), i, get_id(cell));
1028
1029 decls.push_back(stringf("(define-fun |%s_m:W%dM %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1030 get_id(module), i, get_id(cell), get_id(module), width, mask.c_str(), log_signal(mask_sig)));
1031 mask = stringf("(|%s_m:W%dM %s| state)", get_id(module), i, get_id(cell));
1032
1033 std::string data_expr;
1034
1035 for (int k = mem_size-1; k >= 0; k--) {
1036 std::string new_data = stringf("(bvor (bvand %s %s) (bvand ((_ extract %d %d) (|%s#%d#%d| state)) (bvnot %s)))",
1037 data.c_str(), mask.c_str(), width*(k+1)-1, width*k, get_id(module), arrayid, i, mask.c_str());
1038 data_expr += stringf("\n (ite (= %s #b%s) %s ((_ extract %d %d) (|%s#%d#%d| state)))",
1039 addr.c_str(), Const(k+mem_offset, abits).as_string().c_str(), new_data.c_str(),
1040 width*(k+1)-1, width*k, get_id(module), arrayid, i);
1041 }
1042
1043 decls.push_back(stringf("(define-fun |%s#%d#%d| ((state |%s_s|)) (_ BitVec %d) (concat%s)) ; %s\n",
1044 get_id(module), arrayid, i+1, get_id(module), width*mem_size, data_expr.c_str(), get_id(cell)));
1045 }
1046 }
1047 else
1048 {
1049 if (async_read) {
1050 if (statedt)
1051 dtmembers.push_back(stringf(" (|%s| (Array (_ BitVec %d) (_ BitVec %d))) ; %s\n",
1052 initial_memstate.c_str(), abits, width, get_id(cell)));
1053 else
1054 decls.push_back(stringf("(declare-fun |%s| (|%s_s|) (Array (_ BitVec %d) (_ BitVec %d))) ; %s\n",
1055 initial_memstate.c_str(), get_id(module), abits, width, get_id(cell)));
1056 }
1057
1058 for (int i = 0; i < wr_ports; i++)
1059 {
1060 SigSpec addr_sig = cell->getPort("\\WR_ADDR").extract(abits*i, abits);
1061 SigSpec data_sig = cell->getPort("\\WR_DATA").extract(width*i, width);
1062 SigSpec mask_sig = cell->getPort("\\WR_EN").extract(width*i, width);
1063
1064 std::string addr = get_bv(addr_sig);
1065 std::string data = get_bv(data_sig);
1066 std::string mask = get_bv(mask_sig);
1067
1068 decls.push_back(stringf("(define-fun |%s_m:W%dA %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1069 get_id(module), i, get_id(cell), get_id(module), abits, addr.c_str(), log_signal(addr_sig)));
1070 addr = stringf("(|%s_m:W%dA %s| state)", get_id(module), i, get_id(cell));
1071
1072 decls.push_back(stringf("(define-fun |%s_m:W%dD %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1073 get_id(module), i, get_id(cell), get_id(module), width, data.c_str(), log_signal(data_sig)));
1074 data = stringf("(|%s_m:W%dD %s| state)", get_id(module), i, get_id(cell));
1075
1076 decls.push_back(stringf("(define-fun |%s_m:W%dM %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1077 get_id(module), i, get_id(cell), get_id(module), width, mask.c_str(), log_signal(mask_sig)));
1078 mask = stringf("(|%s_m:W%dM %s| state)", get_id(module), i, get_id(cell));
1079
1080 data = stringf("(bvor (bvand %s %s) (bvand (select (|%s#%d#%d| state) %s) (bvnot %s)))",
1081 data.c_str(), mask.c_str(), get_id(module), arrayid, i, addr.c_str(), mask.c_str());
1082
1083 decls.push_back(stringf("(define-fun |%s#%d#%d| ((state |%s_s|)) (Array (_ BitVec %d) (_ BitVec %d)) "
1084 "(store (|%s#%d#%d| state) %s %s)) ; %s\n",
1085 get_id(module), arrayid, i+1, get_id(module), abits, width,
1086 get_id(module), arrayid, i, addr.c_str(), data.c_str(), get_id(cell)));
1087 }
1088 }
1089
1090 std::string expr_d = stringf("(|%s#%d#%d| state)", get_id(module), arrayid, wr_ports);
1091 std::string expr_q = stringf("(|%s#%d#0| next_state)", get_id(module), arrayid);
1092 trans.push_back(stringf(" (= %s %s) ; %s\n", expr_d.c_str(), expr_q.c_str(), get_id(cell)));
1093 ex_state_eq.push_back(stringf("(= (|%s#%d#0| state) (|%s#%d#0| other_state))", get_id(module), arrayid, get_id(module), arrayid));
1094
1095 if (async_read)
1096 hier.push_back(stringf(" (= %s (|%s| state)) ; %s\n", expr_d.c_str(), final_memstate.c_str(), get_id(cell)));
1097
1098 Const init_data = cell->getParam("\\INIT");
1099 int memsize = cell->getParam("\\SIZE").as_int();
1100
1101 for (int i = 0; i < memsize; i++)
1102 {
1103 if (i*width >= GetSize(init_data))
1104 break;
1105
1106 Const initword = init_data.extract(i*width, width, State::Sx);
1107 Const initmask = initword;
1108 bool gen_init_constr = false;
1109
1110 for (int k = 0; k < GetSize(initword); k++) {
1111 if (initword[k] == State::S0 || initword[k] == State::S1) {
1112 gen_init_constr = true;
1113 initmask[k] = State::S1;
1114 } else {
1115 initmask[k] = State::S0;
1116 initword[k] = State::S0;
1117 }
1118 }
1119
1120 if (gen_init_constr)
1121 {
1122 if (statebv)
1123 /* FIXME */;
1124 else
1125 init_list.push_back(stringf("(= (bvand (select (|%s#%d#0| state) #b%s) #b%s) #b%s) ; %s[%d]",
1126 get_id(module), arrayid, Const(i, abits).as_string().c_str(),
1127 initmask.as_string().c_str(), initword.as_string().c_str(), get_id(cell), i));
1128 }
1129 }
1130 }
1131 }
1132 }
1133
1134 if (verbose) log("=> finalizing SMT2 representation of %s.\n", log_id(module));
1135
1136 for (auto c : hiercells) {
1137 assert_list.push_back(stringf("(|%s_a| (|%s_h %s| state))", get_id(c->type), get_id(module), get_id(c->name)));
1138 assume_list.push_back(stringf("(|%s_u| (|%s_h %s| state))", get_id(c->type), get_id(module), get_id(c->name)));
1139 init_list.push_back(stringf("(|%s_i| (|%s_h %s| state))", get_id(c->type), get_id(module), get_id(c->name)));
1140 hier.push_back(stringf(" (|%s_h| (|%s_h %s| state))\n", get_id(c->type), get_id(module), get_id(c->name)));
1141 trans.push_back(stringf(" (|%s_t| (|%s_h %s| state) (|%s_h %s| next_state))\n",
1142 get_id(c->type), get_id(module), get_id(c->name), get_id(module), get_id(c->name)));
1143 ex_state_eq.push_back(stringf("(|%s_ex_state_eq| (|%s_h %s| state) (|%s_h %s| other_state))\n",
1144 get_id(c->type), get_id(module), get_id(c->name), get_id(module), get_id(c->name)));
1145 }
1146
1147 if (forallmode)
1148 {
1149 string expr = ex_state_eq.empty() ? "true" : "(and";
1150 if (!ex_state_eq.empty()) {
1151 if (GetSize(ex_state_eq) == 1) {
1152 expr = "\n " + ex_state_eq.front() + "\n";
1153 } else {
1154 for (auto &str : ex_state_eq)
1155 expr += stringf("\n %s", str.c_str());
1156 expr += "\n)";
1157 }
1158 }
1159 decls.push_back(stringf("(define-fun |%s_ex_state_eq| ((state |%s_s|) (other_state |%s_s|)) Bool %s)\n",
1160 get_id(module), get_id(module), get_id(module), expr.c_str()));
1161
1162 expr = ex_input_eq.empty() ? "true" : "(and";
1163 if (!ex_input_eq.empty()) {
1164 if (GetSize(ex_input_eq) == 1) {
1165 expr = "\n " + ex_input_eq.front() + "\n";
1166 } else {
1167 for (auto &str : ex_input_eq)
1168 expr += stringf("\n %s", str.c_str());
1169 expr += "\n)";
1170 }
1171 }
1172 decls.push_back(stringf("(define-fun |%s_ex_input_eq| ((state |%s_s|) (other_state |%s_s|)) Bool %s)\n",
1173 get_id(module), get_id(module), get_id(module), expr.c_str()));
1174 }
1175
1176 string assert_expr = assert_list.empty() ? "true" : "(and";
1177 if (!assert_list.empty()) {
1178 if (GetSize(assert_list) == 1) {
1179 assert_expr = "\n " + assert_list.front() + "\n";
1180 } else {
1181 for (auto &str : assert_list)
1182 assert_expr += stringf("\n %s", str.c_str());
1183 assert_expr += "\n)";
1184 }
1185 }
1186 decls.push_back(stringf("(define-fun |%s_a| ((state |%s_s|)) Bool %s)\n",
1187 get_id(module), get_id(module), assert_expr.c_str()));
1188
1189 string assume_expr = assume_list.empty() ? "true" : "(and";
1190 if (!assume_list.empty()) {
1191 if (GetSize(assume_list) == 1) {
1192 assume_expr = "\n " + assume_list.front() + "\n";
1193 } else {
1194 for (auto &str : assume_list)
1195 assume_expr += stringf("\n %s", str.c_str());
1196 assume_expr += "\n)";
1197 }
1198 }
1199 decls.push_back(stringf("(define-fun |%s_u| ((state |%s_s|)) Bool %s)\n",
1200 get_id(module), get_id(module), assume_expr.c_str()));
1201
1202 string init_expr = init_list.empty() ? "true" : "(and";
1203 if (!init_list.empty()) {
1204 if (GetSize(init_list) == 1) {
1205 init_expr = "\n " + init_list.front() + "\n";
1206 } else {
1207 for (auto &str : init_list)
1208 init_expr += stringf("\n %s", str.c_str());
1209 init_expr += "\n)";
1210 }
1211 }
1212 decls.push_back(stringf("(define-fun |%s_i| ((state |%s_s|)) Bool %s)\n",
1213 get_id(module), get_id(module), init_expr.c_str()));
1214 }
1215
1216 void write(std::ostream &f)
1217 {
1218 f << stringf("; yosys-smt2-module %s\n", get_id(module));
1219
1220 if (statebv) {
1221 f << stringf("(define-sort |%s_s| () (_ BitVec %d))\n", get_id(module), statebv_width);
1222 mod_stbv_width[module->name] = statebv_width;
1223 } else
1224 if (statedt) {
1225 f << stringf("(declare-datatype |%s_s| ((|%s_mk|\n", get_id(module), get_id(module));
1226 for (auto it : dtmembers)
1227 f << it;
1228 f << stringf(")))\n");
1229 } else
1230 f << stringf("(declare-sort |%s_s| 0)\n", get_id(module));
1231
1232 for (auto it : decls)
1233 f << it;
1234
1235 f << stringf("(define-fun |%s_h| ((state |%s_s|)) Bool ", get_id(module), get_id(module));
1236 if (GetSize(hier) > 1) {
1237 f << "(and\n";
1238 for (auto it : hier)
1239 f << it;
1240 f << "))\n";
1241 } else
1242 if (GetSize(hier) == 1)
1243 f << "\n" + hier.front() + ")\n";
1244 else
1245 f << "true)\n";
1246
1247 f << stringf("(define-fun |%s_t| ((state |%s_s|) (next_state |%s_s|)) Bool ", get_id(module), get_id(module), get_id(module));
1248 if (GetSize(trans) > 1) {
1249 f << "(and\n";
1250 for (auto it : trans)
1251 f << it;
1252 f << "))";
1253 } else
1254 if (GetSize(trans) == 1)
1255 f << "\n" + trans.front() + ")";
1256 else
1257 f << "true)";
1258 f << stringf(" ; end of module %s\n", get_id(module));
1259 }
1260 };
1261
1262 struct Smt2Backend : public Backend {
1263 Smt2Backend() : Backend("smt2", "write design to SMT-LIBv2 file") { }
1264 void help() YS_OVERRIDE
1265 {
1266 // |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
1267 log("\n");
1268 log(" write_smt2 [options] [filename]\n");
1269 log("\n");
1270 log("Write a SMT-LIBv2 [1] description of the current design. For a module with name\n");
1271 log("'<mod>' this will declare the sort '<mod>_s' (state of the module) and will\n");
1272 log("define and declare functions operating on that state.\n");
1273 log("\n");
1274 log("The following SMT2 functions are generated for a module with name '<mod>'.\n");
1275 log("Some declarations/definitions are printed with a special comment. A prover\n");
1276 log("using the SMT2 files can use those comments to collect all relevant metadata\n");
1277 log("about the design.\n");
1278 log("\n");
1279 log(" ; yosys-smt2-module <mod>\n");
1280 log(" (declare-sort |<mod>_s| 0)\n");
1281 log(" The sort representing a state of module <mod>.\n");
1282 log("\n");
1283 log(" (define-fun |<mod>_h| ((state |<mod>_s|)) Bool (...))\n");
1284 log(" This function must be asserted for each state to establish the\n");
1285 log(" design hierarchy.\n");
1286 log("\n");
1287 log(" ; yosys-smt2-input <wirename> <width>\n");
1288 log(" ; yosys-smt2-output <wirename> <width>\n");
1289 log(" ; yosys-smt2-register <wirename> <width>\n");
1290 log(" ; yosys-smt2-wire <wirename> <width>\n");
1291 log(" (define-fun |<mod>_n <wirename>| (|<mod>_s|) (_ BitVec <width>))\n");
1292 log(" (define-fun |<mod>_n <wirename>| (|<mod>_s|) Bool)\n");
1293 log(" For each port, register, and wire with the 'keep' attribute set an\n");
1294 log(" accessor function is generated. Single-bit wires are returned as Bool,\n");
1295 log(" multi-bit wires as BitVec.\n");
1296 log("\n");
1297 log(" ; yosys-smt2-cell <submod> <instancename>\n");
1298 log(" (declare-fun |<mod>_h <instancename>| (|<mod>_s|) |<submod>_s|)\n");
1299 log(" There is a function like that for each hierarchical instance. It\n");
1300 log(" returns the sort that represents the state of the sub-module that\n");
1301 log(" implements the instance.\n");
1302 log("\n");
1303 log(" (declare-fun |<mod>_is| (|<mod>_s|) Bool)\n");
1304 log(" This function must be asserted 'true' for initial states, and 'false'\n");
1305 log(" otherwise.\n");
1306 log("\n");
1307 log(" (define-fun |<mod>_i| ((state |<mod>_s|)) Bool (...))\n");
1308 log(" This function must be asserted 'true' for initial states. For\n");
1309 log(" non-initial states it must be left unconstrained.\n");
1310 log("\n");
1311 log(" (define-fun |<mod>_t| ((state |<mod>_s|) (next_state |<mod>_s|)) Bool (...))\n");
1312 log(" This function evaluates to 'true' if the states 'state' and\n");
1313 log(" 'next_state' form a valid state transition.\n");
1314 log("\n");
1315 log(" (define-fun |<mod>_a| ((state |<mod>_s|)) Bool (...))\n");
1316 log(" This function evaluates to 'true' if all assertions hold in the state.\n");
1317 log("\n");
1318 log(" (define-fun |<mod>_u| ((state |<mod>_s|)) Bool (...))\n");
1319 log(" This function evaluates to 'true' if all assumptions hold in the state.\n");
1320 log("\n");
1321 log(" ; yosys-smt2-assert <id> <filename:linenum>\n");
1322 log(" (define-fun |<mod>_a <id>| ((state |<mod>_s|)) Bool (...))\n");
1323 log(" Each $assert cell is converted into one of this functions. The function\n");
1324 log(" evaluates to 'true' if the assert statement holds in the state.\n");
1325 log("\n");
1326 log(" ; yosys-smt2-assume <id> <filename:linenum>\n");
1327 log(" (define-fun |<mod>_u <id>| ((state |<mod>_s|)) Bool (...))\n");
1328 log(" Each $assume cell is converted into one of this functions. The function\n");
1329 log(" evaluates to 'true' if the assume statement holds in the state.\n");
1330 log("\n");
1331 log(" ; yosys-smt2-cover <id> <filename:linenum>\n");
1332 log(" (define-fun |<mod>_c <id>| ((state |<mod>_s|)) Bool (...))\n");
1333 log(" Each $cover cell is converted into one of this functions. The function\n");
1334 log(" evaluates to 'true' if the cover statement is activated in the state.\n");
1335 log("\n");
1336 log("Options:\n");
1337 log("\n");
1338 log(" -verbose\n");
1339 log(" this will print the recursive walk used to export the modules.\n");
1340 log("\n");
1341 log(" -stbv\n");
1342 log(" Use a BitVec sort to represent a state instead of an uninterpreted\n");
1343 log(" sort. As a side-effect this will prevent use of arrays to model\n");
1344 log(" memories.\n");
1345 log("\n");
1346 log(" -stdt\n");
1347 log(" Use SMT-LIB 2.6 style datatypes to represent a state instead of an\n");
1348 log(" uninterpreted sort.\n");
1349 log("\n");
1350 log(" -nobv\n");
1351 log(" disable support for BitVec (FixedSizeBitVectors theory). without this\n");
1352 log(" option multi-bit wires are represented using the BitVec sort and\n");
1353 log(" support for coarse grain cells (incl. arithmetic) is enabled.\n");
1354 log("\n");
1355 log(" -nomem\n");
1356 log(" disable support for memories (via ArraysEx theory). this option is\n");
1357 log(" implied by -nobv. only $mem cells without merged registers in\n");
1358 log(" read ports are supported. call \"memory\" with -nordff to make sure\n");
1359 log(" that no registers are merged into $mem read ports. '<mod>_m' functions\n");
1360 log(" will be generated for accessing the arrays that are used to represent\n");
1361 log(" memories.\n");
1362 log("\n");
1363 log(" -wires\n");
1364 log(" create '<mod>_n' functions for all public wires. by default only ports,\n");
1365 log(" registers, and wires with the 'keep' attribute are exported.\n");
1366 log("\n");
1367 log(" -tpl <template_file>\n");
1368 log(" use the given template file. the line containing only the token '%%%%'\n");
1369 log(" is replaced with the regular output of this command.\n");
1370 log("\n");
1371 log("[1] For more information on SMT-LIBv2 visit http://smt-lib.org/ or read David\n");
1372 log("R. Cok's tutorial: http://www.grammatech.com/resources/smt/SMTLIBTutorial.pdf\n");
1373 log("\n");
1374 log("---------------------------------------------------------------------------\n");
1375 log("\n");
1376 log("Example:\n");
1377 log("\n");
1378 log("Consider the following module (test.v). We want to prove that the output can\n");
1379 log("never transition from a non-zero value to a zero value.\n");
1380 log("\n");
1381 log(" module test(input clk, output reg [3:0] y);\n");
1382 log(" always @(posedge clk)\n");
1383 log(" y <= (y << 1) | ^y;\n");
1384 log(" endmodule\n");
1385 log("\n");
1386 log("For this proof we create the following template (test.tpl).\n");
1387 log("\n");
1388 log(" ; we need QF_UFBV for this poof\n");
1389 log(" (set-logic QF_UFBV)\n");
1390 log("\n");
1391 log(" ; insert the auto-generated code here\n");
1392 log(" %%%%\n");
1393 log("\n");
1394 log(" ; declare two state variables s1 and s2\n");
1395 log(" (declare-fun s1 () test_s)\n");
1396 log(" (declare-fun s2 () test_s)\n");
1397 log("\n");
1398 log(" ; state s2 is the successor of state s1\n");
1399 log(" (assert (test_t s1 s2))\n");
1400 log("\n");
1401 log(" ; we are looking for a model with y non-zero in s1\n");
1402 log(" (assert (distinct (|test_n y| s1) #b0000))\n");
1403 log("\n");
1404 log(" ; we are looking for a model with y zero in s2\n");
1405 log(" (assert (= (|test_n y| s2) #b0000))\n");
1406 log("\n");
1407 log(" ; is there such a model?\n");
1408 log(" (check-sat)\n");
1409 log("\n");
1410 log("The following yosys script will create a 'test.smt2' file for our proof:\n");
1411 log("\n");
1412 log(" read_verilog test.v\n");
1413 log(" hierarchy -check; proc; opt; check -assert\n");
1414 log(" write_smt2 -bv -tpl test.tpl test.smt2\n");
1415 log("\n");
1416 log("Running 'cvc4 test.smt2' will print 'unsat' because y can never transition\n");
1417 log("from non-zero to zero in the test design.\n");
1418 log("\n");
1419 }
1420 void execute(std::ostream *&f, std::string filename, std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
1421 {
1422 std::ifstream template_f;
1423 bool bvmode = true, memmode = true, wiresmode = false, verbose = false, statebv = false, statedt = false;
1424 bool forallmode = false;
1425
1426 log_header(design, "Executing SMT2 backend.\n");
1427
1428 size_t argidx;
1429 for (argidx = 1; argidx < args.size(); argidx++)
1430 {
1431 if (args[argidx] == "-tpl" && argidx+1 < args.size()) {
1432 template_f.open(args[++argidx]);
1433 if (template_f.fail())
1434 log_error("Can't open template file `%s'.\n", args[argidx].c_str());
1435 continue;
1436 }
1437 if (args[argidx] == "-bv" || args[argidx] == "-mem") {
1438 log_warning("Options -bv and -mem are now the default. Support for -bv and -mem will be removed in the future.\n");
1439 continue;
1440 }
1441 if (args[argidx] == "-stbv") {
1442 statebv = true;
1443 statedt = false;
1444 continue;
1445 }
1446 if (args[argidx] == "-stdt") {
1447 statebv = false;
1448 statedt = true;
1449 continue;
1450 }
1451 if (args[argidx] == "-nobv") {
1452 bvmode = false;
1453 memmode = false;
1454 continue;
1455 }
1456 if (args[argidx] == "-nomem") {
1457 memmode = false;
1458 continue;
1459 }
1460 if (args[argidx] == "-wires") {
1461 wiresmode = true;
1462 continue;
1463 }
1464 if (args[argidx] == "-verbose") {
1465 verbose = true;
1466 continue;
1467 }
1468 break;
1469 }
1470 extra_args(f, filename, args, argidx);
1471
1472 if (template_f.is_open()) {
1473 std::string line;
1474 while (std::getline(template_f, line)) {
1475 int indent = 0;
1476 while (indent < GetSize(line) && (line[indent] == ' ' || line[indent] == '\t'))
1477 indent++;
1478 if (line.substr(indent, 2) == "%%")
1479 break;
1480 *f << line << std::endl;
1481 }
1482 }
1483
1484 *f << stringf("; SMT-LIBv2 description generated by %s\n", yosys_version_str);
1485
1486 if (!bvmode)
1487 *f << stringf("; yosys-smt2-nobv\n");
1488
1489 if (!memmode)
1490 *f << stringf("; yosys-smt2-nomem\n");
1491
1492 if (statebv)
1493 *f << stringf("; yosys-smt2-stbv\n");
1494
1495 if (statedt)
1496 *f << stringf("; yosys-smt2-stdt\n");
1497
1498 std::vector<RTLIL::Module*> sorted_modules;
1499
1500 // extract module dependencies
1501 std::map<RTLIL::Module*, std::set<RTLIL::Module*>> module_deps;
1502 for (auto &mod_it : design->modules_) {
1503 module_deps[mod_it.second] = std::set<RTLIL::Module*>();
1504 for (auto &cell_it : mod_it.second->cells_)
1505 if (design->modules_.count(cell_it.second->type) > 0)
1506 module_deps[mod_it.second].insert(design->modules_.at(cell_it.second->type));
1507 }
1508
1509 // simple good-enough topological sort
1510 // (O(n*m) on n elements and depth m)
1511 while (module_deps.size() > 0) {
1512 size_t sorted_modules_idx = sorted_modules.size();
1513 for (auto &it : module_deps) {
1514 for (auto &dep : it.second)
1515 if (module_deps.count(dep) > 0)
1516 goto not_ready_yet;
1517 // log("Next in topological sort: %s\n", RTLIL::id2cstr(it.first->name));
1518 sorted_modules.push_back(it.first);
1519 not_ready_yet:;
1520 }
1521 if (sorted_modules_idx == sorted_modules.size())
1522 log_error("Cyclic dependency between modules found! Cycle includes module %s.\n", RTLIL::id2cstr(module_deps.begin()->first->name));
1523 while (sorted_modules_idx < sorted_modules.size())
1524 module_deps.erase(sorted_modules.at(sorted_modules_idx++));
1525 }
1526
1527 dict<IdString, int> mod_stbv_width;
1528 dict<IdString, dict<IdString, pair<bool, bool>>> mod_clk_cache;
1529 Module *topmod = design->top_module();
1530 std::string topmod_id;
1531
1532 for (auto module : sorted_modules)
1533 for (auto cell : module->cells())
1534 if (cell->type.in("$allconst", "$allseq"))
1535 goto found_forall;
1536 if (0) {
1537 found_forall:
1538 forallmode = true;
1539 *f << stringf("; yosys-smt2-forall\n");
1540 if (!statebv && !statedt)
1541 log_error("Forall-exists problems are only supported in -stbv or -stdt mode.\n");
1542 }
1543
1544 for (auto module : sorted_modules)
1545 {
1546 if (module->get_bool_attribute("\\blackbox") || module->has_memories_warn() || module->has_processes_warn())
1547 continue;
1548
1549 log("Creating SMT-LIBv2 representation of module %s.\n", log_id(module));
1550
1551 Smt2Worker worker(module, bvmode, memmode, wiresmode, verbose, statebv, statedt, forallmode, mod_stbv_width, mod_clk_cache);
1552 worker.run();
1553 worker.write(*f);
1554
1555 if (module == topmod)
1556 topmod_id = worker.get_id(module);
1557 }
1558
1559 if (topmod)
1560 *f << stringf("; yosys-smt2-topmod %s\n", topmod_id.c_str());
1561
1562 *f << stringf("; end of yosys output\n");
1563
1564 if (template_f.is_open()) {
1565 std::string line;
1566 while (std::getline(template_f, line))
1567 *f << line << std::endl;
1568 }
1569 }
1570 } Smt2Backend;
1571
1572 PRIVATE_NAMESPACE_END