Merge remote-tracking branch 'upstream/master'
[yosys.git] / backends / smt2 / smt2.cc
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 */
19
20 #include "kernel/rtlil.h"
21 #include "kernel/register.h"
22 #include "kernel/sigtools.h"
23 #include "kernel/celltypes.h"
24 #include "kernel/log.h"
25 #include <string>
26
27 USING_YOSYS_NAMESPACE
28 PRIVATE_NAMESPACE_BEGIN
29
30 struct Smt2Worker
31 {
32 CellTypes ct;
33 SigMap sigmap;
34 RTLIL::Module *module;
35 bool bvmode, memmode, wiresmode, verbose, statebv, statedt, forallmode;
36 dict<IdString, int> &mod_stbv_width;
37 int idcounter = 0, statebv_width = 0;
38
39 std::vector<std::string> decls, trans, hier, dtmembers;
40 std::map<RTLIL::SigBit, RTLIL::Cell*> bit_driver;
41 std::set<RTLIL::Cell*> exported_cells, hiercells, hiercells_queue;
42 pool<Cell*> recursive_cells, registers;
43
44 pool<SigBit> clock_posedge, clock_negedge;
45 vector<string> ex_state_eq, ex_input_eq;
46
47 std::map<RTLIL::SigBit, std::pair<int, int>> fcache;
48 std::map<Cell*, int> memarrays;
49 std::map<int, int> bvsizes;
50 dict<IdString, char*> ids;
51
52 const char *get_id(IdString n)
53 {
54 if (ids.count(n) == 0) {
55 std::string str = log_id(n);
56 for (int i = 0; i < GetSize(str); i++) {
57 if (str[i] == '\\')
58 str[i] = '/';
59 }
60 ids[n] = strdup(str.c_str());
61 }
62 return ids[n];
63 }
64
65 template<typename T>
66 const char *get_id(T *obj) {
67 return get_id(obj->name);
68 }
69
70 void makebits(std::string name, int width = 0, std::string comment = std::string())
71 {
72 std::string decl_str;
73
74 if (statebv)
75 {
76 if (width == 0) {
77 decl_str = stringf("(define-fun |%s| ((state |%s_s|)) Bool (= ((_ extract %d %d) state) #b1))", name.c_str(), get_id(module), statebv_width, statebv_width);
78 statebv_width += 1;
79 } else {
80 decl_str = stringf("(define-fun |%s| ((state |%s_s|)) (_ BitVec %d) ((_ extract %d %d) state))", name.c_str(), get_id(module), width, statebv_width+width-1, statebv_width);
81 statebv_width += width;
82 }
83 }
84 else if (statedt)
85 {
86 if (width == 0) {
87 decl_str = stringf(" (|%s| Bool)", name.c_str());
88 } else {
89 decl_str = stringf(" (|%s| (_ BitVec %d))", name.c_str(), width);
90 }
91 }
92 else
93 {
94 if (width == 0) {
95 decl_str = stringf("(declare-fun |%s| (|%s_s|) Bool)", name.c_str(), get_id(module));
96 } else {
97 decl_str = stringf("(declare-fun |%s| (|%s_s|) (_ BitVec %d))", name.c_str(), get_id(module), width);
98 }
99 }
100
101 if (!comment.empty())
102 decl_str += " ; " + comment;
103
104 if (statedt)
105 dtmembers.push_back(decl_str + "\n");
106 else
107 decls.push_back(decl_str + "\n");
108 }
109
110 Smt2Worker(RTLIL::Module *module, bool bvmode, bool memmode, bool wiresmode, bool verbose, bool statebv, bool statedt, bool forallmode,
111 dict<IdString, int> &mod_stbv_width, dict<IdString, dict<IdString, pair<bool, bool>>> &mod_clk_cache) :
112 ct(module->design), sigmap(module), module(module), bvmode(bvmode), memmode(memmode), wiresmode(wiresmode),
113 verbose(verbose), statebv(statebv), statedt(statedt), forallmode(forallmode), mod_stbv_width(mod_stbv_width)
114 {
115 pool<SigBit> noclock;
116
117 makebits(stringf("%s_is", get_id(module)));
118
119 for (auto cell : module->cells())
120 for (auto &conn : cell->connections())
121 {
122 if (GetSize(conn.second) == 0)
123 continue;
124
125 bool is_input = ct.cell_input(cell->type, conn.first);
126 bool is_output = ct.cell_output(cell->type, conn.first);
127
128 if (is_output && !is_input)
129 for (auto bit : sigmap(conn.second)) {
130 if (bit_driver.count(bit))
131 log_error("Found multiple drivers for %s.\n", log_signal(bit));
132 bit_driver[bit] = cell;
133 }
134 else if (is_output || !is_input)
135 log_error("Unsupported or unknown directionality on port %s of cell %s.%s (%s).\n",
136 log_id(conn.first), log_id(module), log_id(cell), log_id(cell->type));
137
138 if (cell->type.in("$mem") && conn.first.in("\\RD_CLK", "\\WR_CLK"))
139 {
140 SigSpec clk = sigmap(conn.second);
141 for (int i = 0; i < GetSize(clk); i++)
142 {
143 if (clk[i].wire == nullptr)
144 continue;
145
146 if (cell->getParam(conn.first == "\\RD_CLK" ? "\\RD_CLK_ENABLE" : "\\WR_CLK_ENABLE")[i] != State::S1)
147 continue;
148
149 if (cell->getParam(conn.first == "\\RD_CLK" ? "\\RD_CLK_POLARITY" : "\\WR_CLK_POLARITY")[i] == State::S1)
150 clock_posedge.insert(clk[i]);
151 else
152 clock_negedge.insert(clk[i]);
153 }
154 }
155 else
156 if (cell->type.in("$dff", "$_DFF_P_", "$_DFF_N_") && conn.first.in("\\CLK", "\\C"))
157 {
158 bool posedge = (cell->type == "$_DFF_N_") || (cell->type == "$dff" && cell->getParam("\\CLK_POLARITY").as_bool());
159 for (auto bit : sigmap(conn.second)) {
160 if (posedge)
161 clock_posedge.insert(bit);
162 else
163 clock_negedge.insert(bit);
164 }
165 }
166 else
167 if (mod_clk_cache.count(cell->type) && mod_clk_cache.at(cell->type).count(conn.first))
168 {
169 for (auto bit : sigmap(conn.second)) {
170 if (mod_clk_cache.at(cell->type).at(conn.first).first)
171 clock_posedge.insert(bit);
172 if (mod_clk_cache.at(cell->type).at(conn.first).second)
173 clock_negedge.insert(bit);
174 }
175 }
176 else
177 {
178 for (auto bit : sigmap(conn.second))
179 noclock.insert(bit);
180 }
181 }
182
183 for (auto bit : noclock) {
184 clock_posedge.erase(bit);
185 clock_negedge.erase(bit);
186 }
187
188 for (auto wire : module->wires())
189 {
190 if (!wire->port_input || GetSize(wire) != 1)
191 continue;
192 SigBit bit = sigmap(wire);
193 if (clock_posedge.count(bit))
194 mod_clk_cache[module->name][wire->name].first = true;
195 if (clock_negedge.count(bit))
196 mod_clk_cache[module->name][wire->name].second = true;
197 }
198 }
199
200 ~Smt2Worker()
201 {
202 for (auto &it : ids)
203 free(it.second);
204 ids.clear();
205 }
206
207 const char *get_id(Module *m)
208 {
209 return get_id(m->name);
210 }
211
212 const char *get_id(Cell *c)
213 {
214 return get_id(c->name);
215 }
216
217 const char *get_id(Wire *w)
218 {
219 return get_id(w->name);
220 }
221
222 void register_bool(RTLIL::SigBit bit, int id)
223 {
224 if (verbose) log("%*s-> register_bool: %s %d\n", 2+2*GetSize(recursive_cells), "",
225 log_signal(bit), id);
226
227 sigmap.apply(bit);
228 log_assert(fcache.count(bit) == 0);
229 fcache[bit] = std::pair<int, int>(id, -1);
230 }
231
232 void register_bv(RTLIL::SigSpec sig, int id)
233 {
234 if (verbose) log("%*s-> register_bv: %s %d\n", 2+2*GetSize(recursive_cells), "",
235 log_signal(sig), id);
236
237 log_assert(bvmode);
238 sigmap.apply(sig);
239
240 log_assert(bvsizes.count(id) == 0);
241 bvsizes[id] = GetSize(sig);
242
243 for (int i = 0; i < GetSize(sig); i++) {
244 log_assert(fcache.count(sig[i]) == 0);
245 fcache[sig[i]] = std::pair<int, int>(id, i);
246 }
247 }
248
249 void register_boolvec(RTLIL::SigSpec sig, int id)
250 {
251 if (verbose) log("%*s-> register_boolvec: %s %d\n", 2+2*GetSize(recursive_cells), "",
252 log_signal(sig), id);
253
254 log_assert(bvmode);
255 sigmap.apply(sig);
256 register_bool(sig[0], id);
257
258 for (int i = 1; i < GetSize(sig); i++)
259 sigmap.add(sig[i], RTLIL::State::S0);
260 }
261
262 std::string get_bool(RTLIL::SigBit bit, const char *state_name = "state")
263 {
264 sigmap.apply(bit);
265
266 if (bit.wire == nullptr)
267 return bit == RTLIL::State::S1 ? "true" : "false";
268
269 if (bit_driver.count(bit))
270 export_cell(bit_driver.at(bit));
271 sigmap.apply(bit);
272
273 if (fcache.count(bit) == 0) {
274 if (verbose) log("%*s-> external bool: %s\n", 2+2*GetSize(recursive_cells), "",
275 log_signal(bit));
276 makebits(stringf("%s#%d", get_id(module), idcounter), 0, log_signal(bit));
277 register_bool(bit, idcounter++);
278 }
279
280 auto f = fcache.at(bit);
281 if (f.second >= 0)
282 return stringf("(= ((_ extract %d %d) (|%s#%d| %s)) #b1)", f.second, f.second, get_id(module), f.first, state_name);
283 return stringf("(|%s#%d| %s)", get_id(module), f.first, state_name);
284 }
285
286 std::string get_bool(RTLIL::SigSpec sig, const char *state_name = "state")
287 {
288 return get_bool(sig.as_bit(), state_name);
289 }
290
291 std::string get_bv(RTLIL::SigSpec sig, const char *state_name = "state")
292 {
293 log_assert(bvmode);
294 sigmap.apply(sig);
295
296 std::vector<std::string> subexpr;
297
298 SigSpec orig_sig;
299 while (orig_sig != sig) {
300 for (auto bit : sig)
301 if (bit_driver.count(bit))
302 export_cell(bit_driver.at(bit));
303 orig_sig = sig;
304 sigmap.apply(sig);
305 }
306
307 for (int i = 0, j = 1; i < GetSize(sig); i += j, j = 1)
308 {
309 if (sig[i].wire == nullptr) {
310 while (i+j < GetSize(sig) && sig[i+j].wire == nullptr) j++;
311 subexpr.push_back("#b");
312 for (int k = i+j-1; k >= i; k--)
313 subexpr.back() += sig[k] == RTLIL::State::S1 ? "1" : "0";
314 continue;
315 }
316
317 if (fcache.count(sig[i]) && fcache.at(sig[i]).second == -1) {
318 subexpr.push_back(stringf("(ite %s #b1 #b0)", get_bool(sig[i], state_name).c_str()));
319 continue;
320 }
321
322 if (fcache.count(sig[i])) {
323 auto t1 = fcache.at(sig[i]);
324 while (i+j < GetSize(sig)) {
325 if (fcache.count(sig[i+j]) == 0)
326 break;
327 auto t2 = fcache.at(sig[i+j]);
328 if (t1.first != t2.first)
329 break;
330 if (t1.second+j != t2.second)
331 break;
332 j++;
333 }
334 if (t1.second == 0 && j == bvsizes.at(t1.first))
335 subexpr.push_back(stringf("(|%s#%d| %s)", get_id(module), t1.first, state_name));
336 else
337 subexpr.push_back(stringf("((_ extract %d %d) (|%s#%d| %s))",
338 t1.second + j - 1, t1.second, get_id(module), t1.first, state_name));
339 continue;
340 }
341
342 std::set<RTLIL::SigBit> seen_bits = { sig[i] };
343 while (i+j < GetSize(sig) && sig[i+j].wire && !fcache.count(sig[i+j]) && !seen_bits.count(sig[i+j]))
344 seen_bits.insert(sig[i+j]), j++;
345
346 if (verbose) log("%*s-> external bv: %s\n", 2+2*GetSize(recursive_cells), "",
347 log_signal(sig.extract(i, j)));
348 for (auto bit : sig.extract(i, j))
349 log_assert(bit_driver.count(bit) == 0);
350 makebits(stringf("%s#%d", get_id(module), idcounter), j, log_signal(sig.extract(i, j)));
351 subexpr.push_back(stringf("(|%s#%d| %s)", get_id(module), idcounter, state_name));
352 register_bv(sig.extract(i, j), idcounter++);
353 }
354
355 if (GetSize(subexpr) > 1) {
356 std::string expr = "", end_str = "";
357 for (int i = GetSize(subexpr)-1; i >= 0; i--) {
358 if (i > 0) expr += " (concat", end_str += ")";
359 expr += " " + subexpr[i];
360 }
361 return expr.substr(1) + end_str;
362 } else {
363 log_assert(GetSize(subexpr) == 1);
364 return subexpr[0];
365 }
366 }
367
368 void export_gate(RTLIL::Cell *cell, std::string expr)
369 {
370 RTLIL::SigBit bit = sigmap(cell->getPort("\\Y").as_bit());
371 std::string processed_expr;
372
373 for (char ch : expr) {
374 if (ch == 'A') processed_expr += get_bool(cell->getPort("\\A"));
375 else if (ch == 'B') processed_expr += get_bool(cell->getPort("\\B"));
376 else if (ch == 'C') processed_expr += get_bool(cell->getPort("\\C"));
377 else if (ch == 'D') processed_expr += get_bool(cell->getPort("\\D"));
378 else if (ch == 'S') processed_expr += get_bool(cell->getPort("\\S"));
379 else processed_expr += ch;
380 }
381
382 if (verbose)
383 log("%*s-> import cell: %s\n", 2+2*GetSize(recursive_cells), "", log_id(cell));
384
385 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) Bool %s) ; %s\n",
386 get_id(module), idcounter, get_id(module), processed_expr.c_str(), log_signal(bit)));
387 register_bool(bit, idcounter++);
388 recursive_cells.erase(cell);
389 }
390
391 void export_bvop(RTLIL::Cell *cell, std::string expr, char type = 0)
392 {
393 RTLIL::SigSpec sig_a, sig_b;
394 RTLIL::SigSpec sig_y = sigmap(cell->getPort("\\Y"));
395 bool is_signed = cell->getParam("\\A_SIGNED").as_bool();
396 int width = GetSize(sig_y);
397
398 if (type == 's' || type == 'd' || type == 'b') {
399 width = max(width, GetSize(cell->getPort("\\A")));
400 if (cell->hasPort("\\B"))
401 width = max(width, GetSize(cell->getPort("\\B")));
402 }
403
404 if (cell->hasPort("\\A")) {
405 sig_a = cell->getPort("\\A");
406 sig_a.extend_u0(width, is_signed);
407 }
408
409 if (cell->hasPort("\\B")) {
410 sig_b = cell->getPort("\\B");
411 sig_b.extend_u0(width, is_signed && !(type == 's'));
412 }
413
414 std::string processed_expr;
415
416 for (char ch : expr) {
417 if (ch == 'A') processed_expr += get_bv(sig_a);
418 else if (ch == 'B') processed_expr += get_bv(sig_b);
419 else if (ch == 'L') processed_expr += is_signed ? "a" : "l";
420 else if (ch == 'U') processed_expr += is_signed ? "s" : "u";
421 else processed_expr += ch;
422 }
423
424 if (width != GetSize(sig_y) && type != 'b')
425 processed_expr = stringf("((_ extract %d 0) %s)", GetSize(sig_y)-1, processed_expr.c_str());
426
427 if (verbose)
428 log("%*s-> import cell: %s\n", 2+2*GetSize(recursive_cells), "", log_id(cell));
429
430 if (type == 'b') {
431 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) Bool %s) ; %s\n",
432 get_id(module), idcounter, get_id(module), processed_expr.c_str(), log_signal(sig_y)));
433 register_boolvec(sig_y, idcounter++);
434 } else {
435 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
436 get_id(module), idcounter, get_id(module), GetSize(sig_y), processed_expr.c_str(), log_signal(sig_y)));
437 register_bv(sig_y, idcounter++);
438 }
439
440 recursive_cells.erase(cell);
441 }
442
443 void export_reduce(RTLIL::Cell *cell, std::string expr, bool identity_val)
444 {
445 RTLIL::SigSpec sig_y = sigmap(cell->getPort("\\Y"));
446 std::string processed_expr;
447
448 for (char ch : expr)
449 if (ch == 'A' || ch == 'B') {
450 RTLIL::SigSpec sig = sigmap(cell->getPort(stringf("\\%c", ch)));
451 for (auto bit : sig)
452 processed_expr += " " + get_bool(bit);
453 if (GetSize(sig) == 1)
454 processed_expr += identity_val ? " true" : " false";
455 } else
456 processed_expr += ch;
457
458 if (verbose)
459 log("%*s-> import cell: %s\n", 2+2*GetSize(recursive_cells), "", log_id(cell));
460
461 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) Bool %s) ; %s\n",
462 get_id(module), idcounter, get_id(module), processed_expr.c_str(), log_signal(sig_y)));
463 register_boolvec(sig_y, idcounter++);
464 recursive_cells.erase(cell);
465 }
466
467 void export_cell(RTLIL::Cell *cell)
468 {
469 if (verbose)
470 log("%*s=> export_cell %s (%s) [%s]\n", 2+2*GetSize(recursive_cells), "",
471 log_id(cell), log_id(cell->type), exported_cells.count(cell) ? "old" : "new");
472
473 if (recursive_cells.count(cell))
474 log_error("Found logic loop in module %s! See cell %s.\n", get_id(module), get_id(cell));
475
476 if (exported_cells.count(cell))
477 return;
478
479 exported_cells.insert(cell);
480 recursive_cells.insert(cell);
481
482 if (cell->type == "$initstate")
483 {
484 SigBit bit = sigmap(cell->getPort("\\Y").as_bit());
485 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) Bool (|%s_is| state)) ; %s\n",
486 get_id(module), idcounter, get_id(module), get_id(module), log_signal(bit)));
487 register_bool(bit, idcounter++);
488 recursive_cells.erase(cell);
489 return;
490 }
491
492 if (cell->type.in("$_FF_", "$_DFF_P_", "$_DFF_N_"))
493 {
494 registers.insert(cell);
495 makebits(stringf("%s#%d", get_id(module), idcounter), 0, log_signal(cell->getPort("\\Q")));
496 register_bool(cell->getPort("\\Q"), idcounter++);
497 recursive_cells.erase(cell);
498 return;
499 }
500
501 if (cell->type == "$_BUF_") return export_gate(cell, "A");
502 if (cell->type == "$_NOT_") return export_gate(cell, "(not A)");
503 if (cell->type == "$_AND_") return export_gate(cell, "(and A B)");
504 if (cell->type == "$_NAND_") return export_gate(cell, "(not (and A B))");
505 if (cell->type == "$_OR_") return export_gate(cell, "(or A B)");
506 if (cell->type == "$_NOR_") return export_gate(cell, "(not (or A B))");
507 if (cell->type == "$_XOR_") return export_gate(cell, "(xor A B)");
508 if (cell->type == "$_XNOR_") return export_gate(cell, "(not (xor A B))");
509 if (cell->type == "$_ANDNOT_") return export_gate(cell, "(and A (not B))");
510 if (cell->type == "$_ORNOT_") return export_gate(cell, "(or A (not B))");
511 if (cell->type == "$_MUX_") return export_gate(cell, "(ite S B A)");
512 if (cell->type == "$_AOI3_") return export_gate(cell, "(not (or (and A B) C))");
513 if (cell->type == "$_OAI3_") return export_gate(cell, "(not (and (or A B) C))");
514 if (cell->type == "$_AOI4_") return export_gate(cell, "(not (or (and A B) (and C D)))");
515 if (cell->type == "$_OAI4_") return export_gate(cell, "(not (and (or A B) (or C D)))");
516
517 // FIXME: $lut
518
519 if (bvmode)
520 {
521 if (cell->type.in("$ff", "$dff"))
522 {
523 registers.insert(cell);
524 makebits(stringf("%s#%d", get_id(module), idcounter), GetSize(cell->getPort("\\Q")), log_signal(cell->getPort("\\Q")));
525 register_bv(cell->getPort("\\Q"), idcounter++);
526 recursive_cells.erase(cell);
527 return;
528 }
529
530 if (cell->type.in("$anyconst", "$anyseq", "$allconst", "$allseq"))
531 {
532 registers.insert(cell);
533 string infostr = cell->attributes.count("\\src") ? cell->attributes.at("\\src").decode_string().c_str() : get_id(cell);
534 if (cell->attributes.count("\\reg"))
535 infostr += " " + cell->attributes.at("\\reg").decode_string();
536 decls.push_back(stringf("; yosys-smt2-%s %s#%d %d %s\n", cell->type.c_str() + 1, get_id(module), idcounter, GetSize(cell->getPort("\\Y")), infostr.c_str()));
537 makebits(stringf("%s#%d", get_id(module), idcounter), GetSize(cell->getPort("\\Y")), log_signal(cell->getPort("\\Y")));
538 if (cell->type == "$anyseq")
539 ex_input_eq.push_back(stringf(" (= (|%s#%d| state) (|%s#%d| other_state))", get_id(module), idcounter, get_id(module), idcounter));
540 register_bv(cell->getPort("\\Y"), idcounter++);
541 recursive_cells.erase(cell);
542 return;
543 }
544
545 if (cell->type == "$and") return export_bvop(cell, "(bvand A B)");
546 if (cell->type == "$or") return export_bvop(cell, "(bvor A B)");
547 if (cell->type == "$xor") return export_bvop(cell, "(bvxor A B)");
548 if (cell->type == "$xnor") return export_bvop(cell, "(bvxnor A B)");
549
550 if (cell->type == "$shl") return export_bvop(cell, "(bvshl A B)", 's');
551 if (cell->type == "$shr") return export_bvop(cell, "(bvlshr A B)", 's');
552 if (cell->type == "$sshl") return export_bvop(cell, "(bvshl A B)", 's');
553 if (cell->type == "$sshr") return export_bvop(cell, "(bvLshr A B)", 's');
554
555 if (cell->type.in("$shift", "$shiftx")) {
556 if (cell->getParam("\\B_SIGNED").as_bool()) {
557 return export_bvop(cell, stringf("(ite (bvsge B #b%0*d) "
558 "(bvlshr A B) (bvlshr A (bvneg B)))",
559 GetSize(cell->getPort("\\B")), 0), 's');
560 } else {
561 return export_bvop(cell, "(bvlshr A B)", 's');
562 }
563 }
564
565 if (cell->type == "$lt") return export_bvop(cell, "(bvUlt A B)", 'b');
566 if (cell->type == "$le") return export_bvop(cell, "(bvUle A B)", 'b');
567 if (cell->type == "$ge") return export_bvop(cell, "(bvUge A B)", 'b');
568 if (cell->type == "$gt") return export_bvop(cell, "(bvUgt A B)", 'b');
569
570 if (cell->type == "$ne") return export_bvop(cell, "(distinct A B)", 'b');
571 if (cell->type == "$nex") return export_bvop(cell, "(distinct A B)", 'b');
572 if (cell->type == "$eq") return export_bvop(cell, "(= A B)", 'b');
573 if (cell->type == "$eqx") return export_bvop(cell, "(= A B)", 'b');
574
575 if (cell->type == "$not") return export_bvop(cell, "(bvnot A)");
576 if (cell->type == "$pos") return export_bvop(cell, "A");
577 if (cell->type == "$neg") return export_bvop(cell, "(bvneg A)");
578
579 if (cell->type == "$add") return export_bvop(cell, "(bvadd A B)");
580 if (cell->type == "$sub") return export_bvop(cell, "(bvsub A B)");
581 if (cell->type == "$mul") return export_bvop(cell, "(bvmul A B)");
582 if (cell->type == "$div") return export_bvop(cell, "(bvUdiv A B)", 'd');
583 if (cell->type == "$mod") return export_bvop(cell, "(bvUrem A B)", 'd');
584
585 if (cell->type.in("$reduce_and", "$reduce_or", "$reduce_bool") &&
586 2*GetSize(cell->getPort("\\A").chunks()) < GetSize(cell->getPort("\\A"))) {
587 bool is_and = cell->type == "$reduce_and";
588 string bits(GetSize(cell->getPort("\\A")), is_and ? '1' : '0');
589 return export_bvop(cell, stringf("(%s A #b%s)", is_and ? "=" : "distinct", bits.c_str()), 'b');
590 }
591
592 if (cell->type == "$reduce_and") return export_reduce(cell, "(and A)", true);
593 if (cell->type == "$reduce_or") return export_reduce(cell, "(or A)", false);
594 if (cell->type == "$reduce_xor") return export_reduce(cell, "(xor A)", false);
595 if (cell->type == "$reduce_xnor") return export_reduce(cell, "(not (xor A))", false);
596 if (cell->type == "$reduce_bool") return export_reduce(cell, "(or A)", false);
597
598 if (cell->type == "$logic_not") return export_reduce(cell, "(not (or A))", false);
599 if (cell->type == "$logic_and") return export_reduce(cell, "(and (or A) (or B))", false);
600 if (cell->type == "$logic_or") return export_reduce(cell, "(or A B)", false);
601
602 if (cell->type == "$mux" || cell->type == "$pmux")
603 {
604 int width = GetSize(cell->getPort("\\Y"));
605 std::string processed_expr = get_bv(cell->getPort("\\A"));
606
607 RTLIL::SigSpec sig_b = cell->getPort("\\B");
608 RTLIL::SigSpec sig_s = cell->getPort("\\S");
609 get_bv(sig_b);
610 get_bv(sig_s);
611
612 for (int i = 0; i < GetSize(sig_s); i++)
613 processed_expr = stringf("(ite %s %s %s)", get_bool(sig_s[i]).c_str(),
614 get_bv(sig_b.extract(i*width, width)).c_str(), processed_expr.c_str());
615
616 if (verbose)
617 log("%*s-> import cell: %s\n", 2+2*GetSize(recursive_cells), "", log_id(cell));
618
619 RTLIL::SigSpec sig = sigmap(cell->getPort("\\Y"));
620 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
621 get_id(module), idcounter, get_id(module), width, processed_expr.c_str(), log_signal(sig)));
622 register_bv(sig, idcounter++);
623 recursive_cells.erase(cell);
624 return;
625 }
626
627 // FIXME: $slice $concat
628 }
629
630 if (memmode && cell->type == "$mem")
631 {
632 int arrayid = idcounter++;
633 memarrays[cell] = arrayid;
634
635 int abits = cell->getParam("\\ABITS").as_int();
636 int width = cell->getParam("\\WIDTH").as_int();
637 int rd_ports = cell->getParam("\\RD_PORTS").as_int();
638 int wr_ports = cell->getParam("\\WR_PORTS").as_int();
639
640 bool async_read = false;
641 if (!cell->getParam("\\WR_CLK_ENABLE").is_fully_ones()) {
642 if (!cell->getParam("\\WR_CLK_ENABLE").is_fully_zero())
643 log_error("Memory %s.%s has mixed clocked/nonclocked write ports. This is not supported by \"write_smt2\".\n", log_id(cell), log_id(module));
644 async_read = true;
645 }
646
647 decls.push_back(stringf("; yosys-smt2-memory %s %d %d %d %d %s\n", get_id(cell), abits, width, rd_ports, wr_ports, async_read ? "async" : "sync"));
648
649 string memstate;
650 if (async_read) {
651 memstate = stringf("%s#%d#final", get_id(module), arrayid);
652 } else {
653 memstate = stringf("%s#%d#0", get_id(module), arrayid);
654 }
655
656 if (statebv)
657 {
658 int mem_size = cell->getParam("\\SIZE").as_int();
659 int mem_offset = cell->getParam("\\OFFSET").as_int();
660
661 makebits(memstate, width*mem_size, get_id(cell));
662 decls.push_back(stringf("(define-fun |%s_m %s| ((state |%s_s|)) (_ BitVec %d) (|%s| state))\n",
663 get_id(module), get_id(cell), get_id(module), width*mem_size, memstate.c_str()));
664
665 for (int i = 0; i < rd_ports; i++)
666 {
667 SigSpec addr_sig = cell->getPort("\\RD_ADDR").extract(abits*i, abits);
668 SigSpec data_sig = cell->getPort("\\RD_DATA").extract(width*i, width);
669 std::string addr = get_bv(addr_sig);
670
671 if (cell->getParam("\\RD_CLK_ENABLE").extract(i).as_bool())
672 log_error("Read port %d (%s) of memory %s.%s is clocked. This is not supported by \"write_smt2\"! "
673 "Call \"memory\" with -nordff to avoid this error.\n", i, log_signal(data_sig), log_id(cell), log_id(module));
674
675 decls.push_back(stringf("(define-fun |%s_m:R%dA %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
676 get_id(module), i, get_id(cell), get_id(module), abits, addr.c_str(), log_signal(addr_sig)));
677
678 std::string read_expr = "#b";
679 for (int k = 0; k < width; k++)
680 read_expr += "0";
681
682 for (int k = 0; k < mem_size; k++)
683 read_expr = stringf("(ite (= (|%s_m:R%dA %s| state) #b%s) ((_ extract %d %d) (|%s| state))\n %s)",
684 get_id(module), i, get_id(cell), Const(k+mem_offset, abits).as_string().c_str(),
685 width*(k+1)-1, width*k, memstate.c_str(), read_expr.c_str());
686
687 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) (_ BitVec %d)\n %s) ; %s\n",
688 get_id(module), idcounter, get_id(module), width, read_expr.c_str(), log_signal(data_sig)));
689
690 decls.push_back(stringf("(define-fun |%s_m:R%dD %s| ((state |%s_s|)) (_ BitVec %d) (|%s#%d| state))\n",
691 get_id(module), i, get_id(cell), get_id(module), width, get_id(module), idcounter));
692
693 register_bv(data_sig, idcounter++);
694 }
695 }
696 else
697 {
698 if (statedt)
699 dtmembers.push_back(stringf(" (|%s| (Array (_ BitVec %d) (_ BitVec %d))) ; %s\n",
700 memstate.c_str(), abits, width, get_id(cell)));
701 else
702 decls.push_back(stringf("(declare-fun |%s| (|%s_s|) (Array (_ BitVec %d) (_ BitVec %d))) ; %s\n",
703 memstate.c_str(), get_id(module), abits, width, get_id(cell)));
704
705 decls.push_back(stringf("(define-fun |%s_m %s| ((state |%s_s|)) (Array (_ BitVec %d) (_ BitVec %d)) (|%s| state))\n",
706 get_id(module), get_id(cell), get_id(module), abits, width, memstate.c_str()));
707
708 for (int i = 0; i < rd_ports; i++)
709 {
710 SigSpec addr_sig = cell->getPort("\\RD_ADDR").extract(abits*i, abits);
711 SigSpec data_sig = cell->getPort("\\RD_DATA").extract(width*i, width);
712 std::string addr = get_bv(addr_sig);
713
714 if (cell->getParam("\\RD_CLK_ENABLE").extract(i).as_bool())
715 log_error("Read port %d (%s) of memory %s.%s is clocked. This is not supported by \"write_smt2\"! "
716 "Call \"memory\" with -nordff to avoid this error.\n", i, log_signal(data_sig), log_id(cell), log_id(module));
717
718 decls.push_back(stringf("(define-fun |%s_m:R%dA %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
719 get_id(module), i, get_id(cell), get_id(module), abits, addr.c_str(), log_signal(addr_sig)));
720
721 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) (_ BitVec %d) (select (|%s| state) (|%s_m:R%dA %s| state))) ; %s\n",
722 get_id(module), idcounter, get_id(module), width, memstate.c_str(), get_id(module), i, get_id(cell), log_signal(data_sig)));
723
724 decls.push_back(stringf("(define-fun |%s_m:R%dD %s| ((state |%s_s|)) (_ BitVec %d) (|%s#%d| state))\n",
725 get_id(module), i, get_id(cell), get_id(module), width, get_id(module), idcounter));
726
727 register_bv(data_sig, idcounter++);
728 }
729 }
730
731 registers.insert(cell);
732 recursive_cells.erase(cell);
733 return;
734 }
735
736 Module *m = module->design->module(cell->type);
737
738 if (m != nullptr)
739 {
740 decls.push_back(stringf("; yosys-smt2-cell %s %s\n", get_id(cell->type), get_id(cell->name)));
741 string cell_state = stringf("(|%s_h %s| state)", get_id(module), get_id(cell->name));
742
743 for (auto &conn : cell->connections())
744 {
745 if (GetSize(conn.second) == 0)
746 continue;
747
748 Wire *w = m->wire(conn.first);
749 SigSpec sig = sigmap(conn.second);
750
751 if (w->port_output && !w->port_input) {
752 if (GetSize(w) > 1) {
753 if (bvmode) {
754 makebits(stringf("%s#%d", get_id(module), idcounter), GetSize(w), log_signal(sig));
755 register_bv(sig, idcounter++);
756 } else {
757 for (int i = 0; i < GetSize(w); i++) {
758 makebits(stringf("%s#%d", get_id(module), idcounter), 0, log_signal(sig[i]));
759 register_bool(sig[i], idcounter++);
760 }
761 }
762 } else {
763 makebits(stringf("%s#%d", get_id(module), idcounter), 0, log_signal(sig));
764 register_bool(sig, idcounter++);
765 }
766 }
767 }
768
769 if (statebv)
770 makebits(stringf("%s_h %s", get_id(module), get_id(cell->name)), mod_stbv_width.at(cell->type));
771 else if (statedt)
772 dtmembers.push_back(stringf(" (|%s_h %s| |%s_s|)\n",
773 get_id(module), get_id(cell->name), get_id(cell->type)));
774 else
775 decls.push_back(stringf("(declare-fun |%s_h %s| (|%s_s|) |%s_s|)\n",
776 get_id(module), get_id(cell->name), get_id(module), get_id(cell->type)));
777
778 hiercells.insert(cell);
779 hiercells_queue.insert(cell);
780 recursive_cells.erase(cell);
781 return;
782 }
783
784 log_error("Unsupported cell type %s for cell %s.%s.\n",
785 log_id(cell->type), log_id(module), log_id(cell));
786 }
787
788 void run()
789 {
790 if (verbose) log("=> export logic driving outputs\n");
791
792 pool<SigBit> reg_bits;
793 for (auto cell : module->cells())
794 if (cell->type.in("$ff", "$dff", "$_FF_", "$_DFF_P_", "$_DFF_N_")) {
795 // not using sigmap -- we want the net directly at the dff output
796 for (auto bit : cell->getPort("\\Q"))
797 reg_bits.insert(bit);
798 }
799
800 for (auto wire : module->wires()) {
801 bool is_register = false;
802 for (auto bit : SigSpec(wire))
803 if (reg_bits.count(bit))
804 is_register = true;
805 if (wire->port_id || is_register || wire->get_bool_attribute("\\keep") || (wiresmode && wire->name[0] == '\\')) {
806 RTLIL::SigSpec sig = sigmap(wire);
807 if (wire->port_input)
808 decls.push_back(stringf("; yosys-smt2-input %s %d\n", get_id(wire), wire->width));
809 if (wire->port_output)
810 decls.push_back(stringf("; yosys-smt2-output %s %d\n", get_id(wire), wire->width));
811 if (is_register)
812 decls.push_back(stringf("; yosys-smt2-register %s %d\n", get_id(wire), wire->width));
813 if (wire->get_bool_attribute("\\keep") || (wiresmode && wire->name[0] == '\\'))
814 decls.push_back(stringf("; yosys-smt2-wire %s %d\n", get_id(wire), wire->width));
815 if (GetSize(wire) == 1 && (clock_posedge.count(sig) || clock_negedge.count(sig)))
816 decls.push_back(stringf("; yosys-smt2-clock %s%s%s\n", get_id(wire),
817 clock_posedge.count(sig) ? " posedge" : "", clock_negedge.count(sig) ? " negedge" : ""));
818 if (bvmode && GetSize(sig) > 1) {
819 decls.push_back(stringf("(define-fun |%s_n %s| ((state |%s_s|)) (_ BitVec %d) %s)\n",
820 get_id(module), get_id(wire), get_id(module), GetSize(sig), get_bv(sig).c_str()));
821 if (wire->port_input)
822 ex_input_eq.push_back(stringf(" (= (|%s_n %s| state) (|%s_n %s| other_state))",
823 get_id(module), get_id(wire), get_id(module), get_id(wire)));
824 } else {
825 for (int i = 0; i < GetSize(sig); i++)
826 if (GetSize(sig) > 1) {
827 decls.push_back(stringf("(define-fun |%s_n %s %d| ((state |%s_s|)) Bool %s)\n",
828 get_id(module), get_id(wire), i, get_id(module), get_bool(sig[i]).c_str()));
829 if (wire->port_input)
830 ex_input_eq.push_back(stringf(" (= (|%s_n %s %d| state) (|%s_n %s %d| other_state))",
831 get_id(module), get_id(wire), i, get_id(module), get_id(wire), i));
832 } else {
833 decls.push_back(stringf("(define-fun |%s_n %s| ((state |%s_s|)) Bool %s)\n",
834 get_id(module), get_id(wire), get_id(module), get_bool(sig[i]).c_str()));
835 if (wire->port_input)
836 ex_input_eq.push_back(stringf(" (= (|%s_n %s| state) (|%s_n %s| other_state))",
837 get_id(module), get_id(wire), get_id(module), get_id(wire)));
838 }
839 }
840 }
841 }
842
843 if (verbose) log("=> export logic associated with the initial state\n");
844
845 vector<string> init_list;
846 for (auto wire : module->wires())
847 if (wire->attributes.count("\\init")) {
848 RTLIL::SigSpec sig = sigmap(wire);
849 Const val = wire->attributes.at("\\init");
850 val.bits.resize(GetSize(sig), State::Sx);
851 if (bvmode && GetSize(sig) > 1) {
852 Const mask(State::S1, GetSize(sig));
853 bool use_mask = false;
854 for (int i = 0; i < GetSize(sig); i++)
855 if (val[i] != State::S0 && val[i] != State::S1) {
856 val[i] = State::S0;
857 mask[i] = State::S0;
858 use_mask = true;
859 }
860 if (use_mask)
861 init_list.push_back(stringf("(= (bvand %s #b%s) #b%s) ; %s", get_bv(sig).c_str(), mask.as_string().c_str(), val.as_string().c_str(), get_id(wire)));
862 else
863 init_list.push_back(stringf("(= %s #b%s) ; %s", get_bv(sig).c_str(), val.as_string().c_str(), get_id(wire)));
864 } else {
865 for (int i = 0; i < GetSize(sig); i++)
866 if (val[i] == State::S0 || val[i] == State::S1)
867 init_list.push_back(stringf("(= %s %s) ; %s", get_bool(sig[i]).c_str(), val[i] == State::S1 ? "true" : "false", get_id(wire)));
868 }
869 }
870
871 if (verbose) log("=> export logic driving asserts\n");
872
873 int assert_id = 0, assume_id = 0, cover_id = 0;
874 vector<string> assert_list, assume_list, cover_list;
875
876 for (auto cell : module->cells())
877 {
878 if (cell->type.in("$assert", "$assume", "$cover"))
879 {
880 int &id = cell->type == "$assert" ? assert_id :
881 cell->type == "$assume" ? assume_id :
882 cell->type == "$cover" ? cover_id : *(int*)nullptr;
883
884 char postfix = cell->type == "$assert" ? 'a' :
885 cell->type == "$assume" ? 'u' :
886 cell->type == "$cover" ? 'c' : 0;
887
888 string name_a = get_bool(cell->getPort("\\A"));
889 string name_en = get_bool(cell->getPort("\\EN"));
890 decls.push_back(stringf("; yosys-smt2-%s %d %s\n", cell->type.c_str() + 1, id,
891 cell->attributes.count("\\src") ? cell->attributes.at("\\src").decode_string().c_str() : get_id(cell)));
892
893 if (cell->type == "$cover")
894 decls.push_back(stringf("(define-fun |%s_%c %d| ((state |%s_s|)) Bool (and %s %s)) ; %s\n",
895 get_id(module), postfix, id, get_id(module), name_a.c_str(), name_en.c_str(), get_id(cell)));
896 else
897 decls.push_back(stringf("(define-fun |%s_%c %d| ((state |%s_s|)) Bool (or %s (not %s))) ; %s\n",
898 get_id(module), postfix, id, get_id(module), name_a.c_str(), name_en.c_str(), get_id(cell)));
899
900 if (cell->type == "$assert")
901 assert_list.push_back(stringf("(|%s_a %d| state)", get_id(module), id));
902 else if (cell->type == "$assume")
903 assume_list.push_back(stringf("(|%s_u %d| state)", get_id(module), id));
904
905 id++;
906 }
907 }
908
909 if (verbose) log("=> export logic driving hierarchical cells\n");
910
911 for (auto cell : module->cells())
912 if (module->design->module(cell->type) != nullptr)
913 export_cell(cell);
914
915 while (!hiercells_queue.empty())
916 {
917 std::set<RTLIL::Cell*> queue;
918 queue.swap(hiercells_queue);
919
920 for (auto cell : queue)
921 {
922 string cell_state = stringf("(|%s_h %s| state)", get_id(module), get_id(cell->name));
923 Module *m = module->design->module(cell->type);
924 log_assert(m != nullptr);
925
926 hier.push_back(stringf(" (= (|%s_is| state) (|%s_is| %s))\n",
927 get_id(module), get_id(cell->type), cell_state.c_str()));
928
929 for (auto &conn : cell->connections())
930 {
931 if (GetSize(conn.second) == 0)
932 continue;
933
934 Wire *w = m->wire(conn.first);
935 SigSpec sig = sigmap(conn.second);
936
937 if (bvmode || GetSize(w) == 1) {
938 hier.push_back(stringf(" (= %s (|%s_n %s| %s)) ; %s.%s\n", (GetSize(w) > 1 ? get_bv(sig) : get_bool(sig)).c_str(),
939 get_id(cell->type), get_id(w), cell_state.c_str(), get_id(cell->type), get_id(w)));
940 } else {
941 for (int i = 0; i < GetSize(w); i++)
942 hier.push_back(stringf(" (= %s (|%s_n %s %d| %s)) ; %s.%s[%d]\n", get_bool(sig[i]).c_str(),
943 get_id(cell->type), get_id(w), i, cell_state.c_str(), get_id(cell->type), get_id(w), i));
944 }
945 }
946 }
947 }
948
949 for (int iter = 1; !registers.empty(); iter++)
950 {
951 pool<Cell*> this_regs;
952 this_regs.swap(registers);
953
954 if (verbose) log("=> export logic driving registers [iteration %d]\n", iter);
955
956 for (auto cell : this_regs)
957 {
958 if (cell->type.in("$_FF_", "$_DFF_P_", "$_DFF_N_"))
959 {
960 std::string expr_d = get_bool(cell->getPort("\\D"));
961 std::string expr_q = get_bool(cell->getPort("\\Q"), "next_state");
962 trans.push_back(stringf(" (= %s %s) ; %s %s\n", expr_d.c_str(), expr_q.c_str(), get_id(cell), log_signal(cell->getPort("\\Q"))));
963 ex_state_eq.push_back(stringf("(= %s %s)", get_bool(cell->getPort("\\Q")).c_str(), get_bool(cell->getPort("\\Q"), "other_state").c_str()));
964 }
965
966 if (cell->type.in("$ff", "$dff"))
967 {
968 std::string expr_d = get_bv(cell->getPort("\\D"));
969 std::string expr_q = get_bv(cell->getPort("\\Q"), "next_state");
970 trans.push_back(stringf(" (= %s %s) ; %s %s\n", expr_d.c_str(), expr_q.c_str(), get_id(cell), log_signal(cell->getPort("\\Q"))));
971 ex_state_eq.push_back(stringf("(= %s %s)", get_bv(cell->getPort("\\Q")).c_str(), get_bv(cell->getPort("\\Q"), "other_state").c_str()));
972 }
973
974 if (cell->type.in("$anyconst", "$allconst"))
975 {
976 std::string expr_d = get_bv(cell->getPort("\\Y"));
977 std::string expr_q = get_bv(cell->getPort("\\Y"), "next_state");
978 trans.push_back(stringf(" (= %s %s) ; %s %s\n", expr_d.c_str(), expr_q.c_str(), get_id(cell), log_signal(cell->getPort("\\Y"))));
979 if (cell->type == "$anyconst")
980 ex_state_eq.push_back(stringf("(= %s %s)", get_bv(cell->getPort("\\Y")).c_str(), get_bv(cell->getPort("\\Y"), "other_state").c_str()));
981 }
982
983 if (cell->type == "$mem")
984 {
985 int arrayid = memarrays.at(cell);
986
987 int abits = cell->getParam("\\ABITS").as_int();
988 int width = cell->getParam("\\WIDTH").as_int();
989 int wr_ports = cell->getParam("\\WR_PORTS").as_int();
990
991 bool async_read = false;
992 string initial_memstate, final_memstate;
993
994 if (!cell->getParam("\\WR_CLK_ENABLE").is_fully_ones()) {
995 log_assert(cell->getParam("\\WR_CLK_ENABLE").is_fully_zero());
996 async_read = true;
997 initial_memstate = stringf("%s#%d#0", get_id(module), arrayid);
998 final_memstate = stringf("%s#%d#final", get_id(module), arrayid);
999 }
1000
1001 if (statebv)
1002 {
1003 int mem_size = cell->getParam("\\SIZE").as_int();
1004 int mem_offset = cell->getParam("\\OFFSET").as_int();
1005
1006 if (async_read) {
1007 makebits(final_memstate, width*mem_size, get_id(cell));
1008 }
1009
1010 for (int i = 0; i < wr_ports; i++)
1011 {
1012 SigSpec addr_sig = cell->getPort("\\WR_ADDR").extract(abits*i, abits);
1013 SigSpec data_sig = cell->getPort("\\WR_DATA").extract(width*i, width);
1014 SigSpec mask_sig = cell->getPort("\\WR_EN").extract(width*i, width);
1015
1016 std::string addr = get_bv(addr_sig);
1017 std::string data = get_bv(data_sig);
1018 std::string mask = get_bv(mask_sig);
1019
1020 decls.push_back(stringf("(define-fun |%s_m:W%dA %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1021 get_id(module), i, get_id(cell), get_id(module), abits, addr.c_str(), log_signal(addr_sig)));
1022 addr = stringf("(|%s_m:W%dA %s| state)", get_id(module), i, get_id(cell));
1023
1024 decls.push_back(stringf("(define-fun |%s_m:W%dD %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1025 get_id(module), i, get_id(cell), get_id(module), width, data.c_str(), log_signal(data_sig)));
1026 data = stringf("(|%s_m:W%dD %s| state)", get_id(module), i, get_id(cell));
1027
1028 decls.push_back(stringf("(define-fun |%s_m:W%dM %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1029 get_id(module), i, get_id(cell), get_id(module), width, mask.c_str(), log_signal(mask_sig)));
1030 mask = stringf("(|%s_m:W%dM %s| state)", get_id(module), i, get_id(cell));
1031
1032 std::string data_expr;
1033
1034 for (int k = mem_size-1; k >= 0; k--) {
1035 std::string new_data = stringf("(bvor (bvand %s %s) (bvand ((_ extract %d %d) (|%s#%d#%d| state)) (bvnot %s)))",
1036 data.c_str(), mask.c_str(), width*(k+1)-1, width*k, get_id(module), arrayid, i, mask.c_str());
1037 data_expr += stringf("\n (ite (= %s #b%s) %s ((_ extract %d %d) (|%s#%d#%d| state)))",
1038 addr.c_str(), Const(k+mem_offset, abits).as_string().c_str(), new_data.c_str(),
1039 width*(k+1)-1, width*k, get_id(module), arrayid, i);
1040 }
1041
1042 decls.push_back(stringf("(define-fun |%s#%d#%d| ((state |%s_s|)) (_ BitVec %d) (concat%s)) ; %s\n",
1043 get_id(module), arrayid, i+1, get_id(module), width*mem_size, data_expr.c_str(), get_id(cell)));
1044 }
1045 }
1046 else
1047 {
1048 if (async_read) {
1049 if (statedt)
1050 dtmembers.push_back(stringf(" (|%s| (Array (_ BitVec %d) (_ BitVec %d))) ; %s\n",
1051 initial_memstate.c_str(), abits, width, get_id(cell)));
1052 else
1053 decls.push_back(stringf("(declare-fun |%s| (|%s_s|) (Array (_ BitVec %d) (_ BitVec %d))) ; %s\n",
1054 initial_memstate.c_str(), get_id(module), abits, width, get_id(cell)));
1055 }
1056
1057 for (int i = 0; i < wr_ports; i++)
1058 {
1059 SigSpec addr_sig = cell->getPort("\\WR_ADDR").extract(abits*i, abits);
1060 SigSpec data_sig = cell->getPort("\\WR_DATA").extract(width*i, width);
1061 SigSpec mask_sig = cell->getPort("\\WR_EN").extract(width*i, width);
1062
1063 std::string addr = get_bv(addr_sig);
1064 std::string data = get_bv(data_sig);
1065 std::string mask = get_bv(mask_sig);
1066
1067 decls.push_back(stringf("(define-fun |%s_m:W%dA %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1068 get_id(module), i, get_id(cell), get_id(module), abits, addr.c_str(), log_signal(addr_sig)));
1069 addr = stringf("(|%s_m:W%dA %s| state)", get_id(module), i, get_id(cell));
1070
1071 decls.push_back(stringf("(define-fun |%s_m:W%dD %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1072 get_id(module), i, get_id(cell), get_id(module), width, data.c_str(), log_signal(data_sig)));
1073 data = stringf("(|%s_m:W%dD %s| state)", get_id(module), i, get_id(cell));
1074
1075 decls.push_back(stringf("(define-fun |%s_m:W%dM %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1076 get_id(module), i, get_id(cell), get_id(module), width, mask.c_str(), log_signal(mask_sig)));
1077 mask = stringf("(|%s_m:W%dM %s| state)", get_id(module), i, get_id(cell));
1078
1079 data = stringf("(bvor (bvand %s %s) (bvand (select (|%s#%d#%d| state) %s) (bvnot %s)))",
1080 data.c_str(), mask.c_str(), get_id(module), arrayid, i, addr.c_str(), mask.c_str());
1081
1082 decls.push_back(stringf("(define-fun |%s#%d#%d| ((state |%s_s|)) (Array (_ BitVec %d) (_ BitVec %d)) "
1083 "(store (|%s#%d#%d| state) %s %s)) ; %s\n",
1084 get_id(module), arrayid, i+1, get_id(module), abits, width,
1085 get_id(module), arrayid, i, addr.c_str(), data.c_str(), get_id(cell)));
1086 }
1087 }
1088
1089 std::string expr_d = stringf("(|%s#%d#%d| state)", get_id(module), arrayid, wr_ports);
1090 std::string expr_q = stringf("(|%s#%d#0| next_state)", get_id(module), arrayid);
1091 trans.push_back(stringf(" (= %s %s) ; %s\n", expr_d.c_str(), expr_q.c_str(), get_id(cell)));
1092 ex_state_eq.push_back(stringf("(= (|%s#%d#0| state) (|%s#%d#0| other_state))", get_id(module), arrayid, get_id(module), arrayid));
1093
1094 if (async_read)
1095 hier.push_back(stringf(" (= %s (|%s| state)) ; %s\n", expr_d.c_str(), final_memstate.c_str(), get_id(cell)));
1096
1097 Const init_data = cell->getParam("\\INIT");
1098 int memsize = cell->getParam("\\SIZE").as_int();
1099
1100 for (int i = 0; i < memsize; i++)
1101 {
1102 if (i*width >= GetSize(init_data))
1103 break;
1104
1105 Const initword = init_data.extract(i*width, width, State::Sx);
1106 Const initmask = initword;
1107 bool gen_init_constr = false;
1108
1109 for (int k = 0; k < GetSize(initword); k++) {
1110 if (initword[k] == State::S0 || initword[k] == State::S1) {
1111 gen_init_constr = true;
1112 initmask[k] = State::S1;
1113 } else {
1114 initmask[k] = State::S0;
1115 initword[k] = State::S0;
1116 }
1117 }
1118
1119 if (gen_init_constr)
1120 {
1121 if (statebv)
1122 /* FIXME */;
1123 else
1124 init_list.push_back(stringf("(= (bvand (select (|%s#%d#0| state) #b%s) #b%s) #b%s) ; %s[%d]",
1125 get_id(module), arrayid, Const(i, abits).as_string().c_str(),
1126 initmask.as_string().c_str(), initword.as_string().c_str(), get_id(cell), i));
1127 }
1128 }
1129 }
1130 }
1131 }
1132
1133 if (verbose) log("=> finalizing SMT2 representation of %s.\n", log_id(module));
1134
1135 for (auto c : hiercells) {
1136 assert_list.push_back(stringf("(|%s_a| (|%s_h %s| state))", get_id(c->type), get_id(module), get_id(c->name)));
1137 assume_list.push_back(stringf("(|%s_u| (|%s_h %s| state))", get_id(c->type), get_id(module), get_id(c->name)));
1138 init_list.push_back(stringf("(|%s_i| (|%s_h %s| state))", get_id(c->type), get_id(module), get_id(c->name)));
1139 hier.push_back(stringf(" (|%s_h| (|%s_h %s| state))\n", get_id(c->type), get_id(module), get_id(c->name)));
1140 trans.push_back(stringf(" (|%s_t| (|%s_h %s| state) (|%s_h %s| next_state))\n",
1141 get_id(c->type), get_id(module), get_id(c->name), get_id(module), get_id(c->name)));
1142 ex_state_eq.push_back(stringf("(|%s_ex_state_eq| (|%s_h %s| state) (|%s_h %s| other_state))\n",
1143 get_id(c->type), get_id(module), get_id(c->name), get_id(module), get_id(c->name)));
1144 }
1145
1146 if (forallmode)
1147 {
1148 string expr = ex_state_eq.empty() ? "true" : "(and";
1149 if (!ex_state_eq.empty()) {
1150 if (GetSize(ex_state_eq) == 1) {
1151 expr = "\n " + ex_state_eq.front() + "\n";
1152 } else {
1153 for (auto &str : ex_state_eq)
1154 expr += stringf("\n %s", str.c_str());
1155 expr += "\n)";
1156 }
1157 }
1158 decls.push_back(stringf("(define-fun |%s_ex_state_eq| ((state |%s_s|) (other_state |%s_s|)) Bool %s)\n",
1159 get_id(module), get_id(module), get_id(module), expr.c_str()));
1160
1161 expr = ex_input_eq.empty() ? "true" : "(and";
1162 if (!ex_input_eq.empty()) {
1163 if (GetSize(ex_input_eq) == 1) {
1164 expr = "\n " + ex_input_eq.front() + "\n";
1165 } else {
1166 for (auto &str : ex_input_eq)
1167 expr += stringf("\n %s", str.c_str());
1168 expr += "\n)";
1169 }
1170 }
1171 decls.push_back(stringf("(define-fun |%s_ex_input_eq| ((state |%s_s|) (other_state |%s_s|)) Bool %s)\n",
1172 get_id(module), get_id(module), get_id(module), expr.c_str()));
1173 }
1174
1175 string assert_expr = assert_list.empty() ? "true" : "(and";
1176 if (!assert_list.empty()) {
1177 if (GetSize(assert_list) == 1) {
1178 assert_expr = "\n " + assert_list.front() + "\n";
1179 } else {
1180 for (auto &str : assert_list)
1181 assert_expr += stringf("\n %s", str.c_str());
1182 assert_expr += "\n)";
1183 }
1184 }
1185 decls.push_back(stringf("(define-fun |%s_a| ((state |%s_s|)) Bool %s)\n",
1186 get_id(module), get_id(module), assert_expr.c_str()));
1187
1188 string assume_expr = assume_list.empty() ? "true" : "(and";
1189 if (!assume_list.empty()) {
1190 if (GetSize(assume_list) == 1) {
1191 assume_expr = "\n " + assume_list.front() + "\n";
1192 } else {
1193 for (auto &str : assume_list)
1194 assume_expr += stringf("\n %s", str.c_str());
1195 assume_expr += "\n)";
1196 }
1197 }
1198 decls.push_back(stringf("(define-fun |%s_u| ((state |%s_s|)) Bool %s)\n",
1199 get_id(module), get_id(module), assume_expr.c_str()));
1200
1201 string init_expr = init_list.empty() ? "true" : "(and";
1202 if (!init_list.empty()) {
1203 if (GetSize(init_list) == 1) {
1204 init_expr = "\n " + init_list.front() + "\n";
1205 } else {
1206 for (auto &str : init_list)
1207 init_expr += stringf("\n %s", str.c_str());
1208 init_expr += "\n)";
1209 }
1210 }
1211 decls.push_back(stringf("(define-fun |%s_i| ((state |%s_s|)) Bool %s)\n",
1212 get_id(module), get_id(module), init_expr.c_str()));
1213 }
1214
1215 void write(std::ostream &f)
1216 {
1217 f << stringf("; yosys-smt2-module %s\n", get_id(module));
1218
1219 if (statebv) {
1220 f << stringf("(define-sort |%s_s| () (_ BitVec %d))\n", get_id(module), statebv_width);
1221 mod_stbv_width[module->name] = statebv_width;
1222 } else
1223 if (statedt) {
1224 f << stringf("(declare-datatype |%s_s| ((|%s_mk|\n", get_id(module), get_id(module));
1225 for (auto it : dtmembers)
1226 f << it;
1227 f << stringf(")))\n");
1228 } else
1229 f << stringf("(declare-sort |%s_s| 0)\n", get_id(module));
1230
1231 for (auto it : decls)
1232 f << it;
1233
1234 f << stringf("(define-fun |%s_h| ((state |%s_s|)) Bool ", get_id(module), get_id(module));
1235 if (GetSize(hier) > 1) {
1236 f << "(and\n";
1237 for (auto it : hier)
1238 f << it;
1239 f << "))\n";
1240 } else
1241 if (GetSize(hier) == 1)
1242 f << "\n" + hier.front() + ")\n";
1243 else
1244 f << "true)\n";
1245
1246 f << stringf("(define-fun |%s_t| ((state |%s_s|) (next_state |%s_s|)) Bool ", get_id(module), get_id(module), get_id(module));
1247 if (GetSize(trans) > 1) {
1248 f << "(and\n";
1249 for (auto it : trans)
1250 f << it;
1251 f << "))";
1252 } else
1253 if (GetSize(trans) == 1)
1254 f << "\n" + trans.front() + ")";
1255 else
1256 f << "true)";
1257 f << stringf(" ; end of module %s\n", get_id(module));
1258 }
1259 };
1260
1261 struct Smt2Backend : public Backend {
1262 Smt2Backend() : Backend("smt2", "write design to SMT-LIBv2 file") { }
1263 void help() YS_OVERRIDE
1264 {
1265 // |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
1266 log("\n");
1267 log(" write_smt2 [options] [filename]\n");
1268 log("\n");
1269 log("Write a SMT-LIBv2 [1] description of the current design. For a module with name\n");
1270 log("'<mod>' this will declare the sort '<mod>_s' (state of the module) and will\n");
1271 log("define and declare functions operating on that state.\n");
1272 log("\n");
1273 log("The following SMT2 functions are generated for a module with name '<mod>'.\n");
1274 log("Some declarations/definitions are printed with a special comment. A prover\n");
1275 log("using the SMT2 files can use those comments to collect all relevant metadata\n");
1276 log("about the design.\n");
1277 log("\n");
1278 log(" ; yosys-smt2-module <mod>\n");
1279 log(" (declare-sort |<mod>_s| 0)\n");
1280 log(" The sort representing a state of module <mod>.\n");
1281 log("\n");
1282 log(" (define-fun |<mod>_h| ((state |<mod>_s|)) Bool (...))\n");
1283 log(" This function must be asserted for each state to establish the\n");
1284 log(" design hierarchy.\n");
1285 log("\n");
1286 log(" ; yosys-smt2-input <wirename> <width>\n");
1287 log(" ; yosys-smt2-output <wirename> <width>\n");
1288 log(" ; yosys-smt2-register <wirename> <width>\n");
1289 log(" ; yosys-smt2-wire <wirename> <width>\n");
1290 log(" (define-fun |<mod>_n <wirename>| (|<mod>_s|) (_ BitVec <width>))\n");
1291 log(" (define-fun |<mod>_n <wirename>| (|<mod>_s|) Bool)\n");
1292 log(" For each port, register, and wire with the 'keep' attribute set an\n");
1293 log(" accessor function is generated. Single-bit wires are returned as Bool,\n");
1294 log(" multi-bit wires as BitVec.\n");
1295 log("\n");
1296 log(" ; yosys-smt2-cell <submod> <instancename>\n");
1297 log(" (declare-fun |<mod>_h <instancename>| (|<mod>_s|) |<submod>_s|)\n");
1298 log(" There is a function like that for each hierarchical instance. It\n");
1299 log(" returns the sort that represents the state of the sub-module that\n");
1300 log(" implements the instance.\n");
1301 log("\n");
1302 log(" (declare-fun |<mod>_is| (|<mod>_s|) Bool)\n");
1303 log(" This function must be asserted 'true' for initial states, and 'false'\n");
1304 log(" otherwise.\n");
1305 log("\n");
1306 log(" (define-fun |<mod>_i| ((state |<mod>_s|)) Bool (...))\n");
1307 log(" This function must be asserted 'true' for initial states. For\n");
1308 log(" non-initial states it must be left unconstrained.\n");
1309 log("\n");
1310 log(" (define-fun |<mod>_t| ((state |<mod>_s|) (next_state |<mod>_s|)) Bool (...))\n");
1311 log(" This function evaluates to 'true' if the states 'state' and\n");
1312 log(" 'next_state' form a valid state transition.\n");
1313 log("\n");
1314 log(" (define-fun |<mod>_a| ((state |<mod>_s|)) Bool (...))\n");
1315 log(" This function evaluates to 'true' if all assertions hold in the state.\n");
1316 log("\n");
1317 log(" (define-fun |<mod>_u| ((state |<mod>_s|)) Bool (...))\n");
1318 log(" This function evaluates to 'true' if all assumptions hold in the state.\n");
1319 log("\n");
1320 log(" ; yosys-smt2-assert <id> <filename:linenum>\n");
1321 log(" (define-fun |<mod>_a <id>| ((state |<mod>_s|)) Bool (...))\n");
1322 log(" Each $assert cell is converted into one of this functions. The function\n");
1323 log(" evaluates to 'true' if the assert statement holds in the state.\n");
1324 log("\n");
1325 log(" ; yosys-smt2-assume <id> <filename:linenum>\n");
1326 log(" (define-fun |<mod>_u <id>| ((state |<mod>_s|)) Bool (...))\n");
1327 log(" Each $assume cell is converted into one of this functions. The function\n");
1328 log(" evaluates to 'true' if the assume statement holds in the state.\n");
1329 log("\n");
1330 log(" ; yosys-smt2-cover <id> <filename:linenum>\n");
1331 log(" (define-fun |<mod>_c <id>| ((state |<mod>_s|)) Bool (...))\n");
1332 log(" Each $cover cell is converted into one of this functions. The function\n");
1333 log(" evaluates to 'true' if the cover statement is activated in the state.\n");
1334 log("\n");
1335 log("Options:\n");
1336 log("\n");
1337 log(" -verbose\n");
1338 log(" this will print the recursive walk used to export the modules.\n");
1339 log("\n");
1340 log(" -stbv\n");
1341 log(" Use a BitVec sort to represent a state instead of an uninterpreted\n");
1342 log(" sort. As a side-effect this will prevent use of arrays to model\n");
1343 log(" memories.\n");
1344 log("\n");
1345 log(" -stdt\n");
1346 log(" Use SMT-LIB 2.6 style datatypes to represent a state instead of an\n");
1347 log(" uninterpreted sort.\n");
1348 log("\n");
1349 log(" -nobv\n");
1350 log(" disable support for BitVec (FixedSizeBitVectors theory). without this\n");
1351 log(" option multi-bit wires are represented using the BitVec sort and\n");
1352 log(" support for coarse grain cells (incl. arithmetic) is enabled.\n");
1353 log("\n");
1354 log(" -nomem\n");
1355 log(" disable support for memories (via ArraysEx theory). this option is\n");
1356 log(" implied by -nobv. only $mem cells without merged registers in\n");
1357 log(" read ports are supported. call \"memory\" with -nordff to make sure\n");
1358 log(" that no registers are merged into $mem read ports. '<mod>_m' functions\n");
1359 log(" will be generated for accessing the arrays that are used to represent\n");
1360 log(" memories.\n");
1361 log("\n");
1362 log(" -wires\n");
1363 log(" create '<mod>_n' functions for all public wires. by default only ports,\n");
1364 log(" registers, and wires with the 'keep' attribute are exported.\n");
1365 log("\n");
1366 log(" -tpl <template_file>\n");
1367 log(" use the given template file. the line containing only the token '%%%%'\n");
1368 log(" is replaced with the regular output of this command.\n");
1369 log("\n");
1370 log("[1] For more information on SMT-LIBv2 visit http://smt-lib.org/ or read David\n");
1371 log("R. Cok's tutorial: http://www.grammatech.com/resources/smt/SMTLIBTutorial.pdf\n");
1372 log("\n");
1373 log("---------------------------------------------------------------------------\n");
1374 log("\n");
1375 log("Example:\n");
1376 log("\n");
1377 log("Consider the following module (test.v). We want to prove that the output can\n");
1378 log("never transition from a non-zero value to a zero value.\n");
1379 log("\n");
1380 log(" module test(input clk, output reg [3:0] y);\n");
1381 log(" always @(posedge clk)\n");
1382 log(" y <= (y << 1) | ^y;\n");
1383 log(" endmodule\n");
1384 log("\n");
1385 log("For this proof we create the following template (test.tpl).\n");
1386 log("\n");
1387 log(" ; we need QF_UFBV for this poof\n");
1388 log(" (set-logic QF_UFBV)\n");
1389 log("\n");
1390 log(" ; insert the auto-generated code here\n");
1391 log(" %%%%\n");
1392 log("\n");
1393 log(" ; declare two state variables s1 and s2\n");
1394 log(" (declare-fun s1 () test_s)\n");
1395 log(" (declare-fun s2 () test_s)\n");
1396 log("\n");
1397 log(" ; state s2 is the successor of state s1\n");
1398 log(" (assert (test_t s1 s2))\n");
1399 log("\n");
1400 log(" ; we are looking for a model with y non-zero in s1\n");
1401 log(" (assert (distinct (|test_n y| s1) #b0000))\n");
1402 log("\n");
1403 log(" ; we are looking for a model with y zero in s2\n");
1404 log(" (assert (= (|test_n y| s2) #b0000))\n");
1405 log("\n");
1406 log(" ; is there such a model?\n");
1407 log(" (check-sat)\n");
1408 log("\n");
1409 log("The following yosys script will create a 'test.smt2' file for our proof:\n");
1410 log("\n");
1411 log(" read_verilog test.v\n");
1412 log(" hierarchy -check; proc; opt; check -assert\n");
1413 log(" write_smt2 -bv -tpl test.tpl test.smt2\n");
1414 log("\n");
1415 log("Running 'cvc4 test.smt2' will print 'unsat' because y can never transition\n");
1416 log("from non-zero to zero in the test design.\n");
1417 log("\n");
1418 }
1419 void execute(std::ostream *&f, std::string filename, std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
1420 {
1421 std::ifstream template_f;
1422 bool bvmode = true, memmode = true, wiresmode = false, verbose = false, statebv = false, statedt = false;
1423 bool forallmode = false;
1424
1425 log_header(design, "Executing SMT2 backend.\n");
1426
1427 size_t argidx;
1428 for (argidx = 1; argidx < args.size(); argidx++)
1429 {
1430 if (args[argidx] == "-tpl" && argidx+1 < args.size()) {
1431 template_f.open(args[++argidx]);
1432 if (template_f.fail())
1433 log_error("Can't open template file `%s'.\n", args[argidx].c_str());
1434 continue;
1435 }
1436 if (args[argidx] == "-bv" || args[argidx] == "-mem") {
1437 log_warning("Options -bv and -mem are now the default. Support for -bv and -mem will be removed in the future.\n");
1438 continue;
1439 }
1440 if (args[argidx] == "-stbv") {
1441 statebv = true;
1442 statedt = false;
1443 continue;
1444 }
1445 if (args[argidx] == "-stdt") {
1446 statebv = false;
1447 statedt = true;
1448 continue;
1449 }
1450 if (args[argidx] == "-nobv") {
1451 bvmode = false;
1452 memmode = false;
1453 continue;
1454 }
1455 if (args[argidx] == "-nomem") {
1456 memmode = false;
1457 continue;
1458 }
1459 if (args[argidx] == "-wires") {
1460 wiresmode = true;
1461 continue;
1462 }
1463 if (args[argidx] == "-verbose") {
1464 verbose = true;
1465 continue;
1466 }
1467 break;
1468 }
1469 extra_args(f, filename, args, argidx);
1470
1471 if (template_f.is_open()) {
1472 std::string line;
1473 while (std::getline(template_f, line)) {
1474 int indent = 0;
1475 while (indent < GetSize(line) && (line[indent] == ' ' || line[indent] == '\t'))
1476 indent++;
1477 if (line.substr(indent, 2) == "%%")
1478 break;
1479 *f << line << std::endl;
1480 }
1481 }
1482
1483 *f << stringf("; SMT-LIBv2 description generated by %s\n", yosys_version_str);
1484
1485 if (!bvmode)
1486 *f << stringf("; yosys-smt2-nobv\n");
1487
1488 if (!memmode)
1489 *f << stringf("; yosys-smt2-nomem\n");
1490
1491 if (statebv)
1492 *f << stringf("; yosys-smt2-stbv\n");
1493
1494 if (statedt)
1495 *f << stringf("; yosys-smt2-stdt\n");
1496
1497 std::vector<RTLIL::Module*> sorted_modules;
1498
1499 // extract module dependencies
1500 std::map<RTLIL::Module*, std::set<RTLIL::Module*>> module_deps;
1501 for (auto &mod_it : design->modules_) {
1502 module_deps[mod_it.second] = std::set<RTLIL::Module*>();
1503 for (auto &cell_it : mod_it.second->cells_)
1504 if (design->modules_.count(cell_it.second->type) > 0)
1505 module_deps[mod_it.second].insert(design->modules_.at(cell_it.second->type));
1506 }
1507
1508 // simple good-enough topological sort
1509 // (O(n*m) on n elements and depth m)
1510 while (module_deps.size() > 0) {
1511 size_t sorted_modules_idx = sorted_modules.size();
1512 for (auto &it : module_deps) {
1513 for (auto &dep : it.second)
1514 if (module_deps.count(dep) > 0)
1515 goto not_ready_yet;
1516 // log("Next in topological sort: %s\n", RTLIL::id2cstr(it.first->name));
1517 sorted_modules.push_back(it.first);
1518 not_ready_yet:;
1519 }
1520 if (sorted_modules_idx == sorted_modules.size())
1521 log_error("Cyclic dependency between modules found! Cycle includes module %s.\n", RTLIL::id2cstr(module_deps.begin()->first->name));
1522 while (sorted_modules_idx < sorted_modules.size())
1523 module_deps.erase(sorted_modules.at(sorted_modules_idx++));
1524 }
1525
1526 dict<IdString, int> mod_stbv_width;
1527 dict<IdString, dict<IdString, pair<bool, bool>>> mod_clk_cache;
1528 Module *topmod = design->top_module();
1529 std::string topmod_id;
1530
1531 for (auto module : sorted_modules)
1532 for (auto cell : module->cells())
1533 if (cell->type.in("$allconst", "$allseq"))
1534 goto found_forall;
1535 if (0) {
1536 found_forall:
1537 forallmode = true;
1538 *f << stringf("; yosys-smt2-forall\n");
1539 if (!statebv && !statedt)
1540 log_error("Forall-exists problems are only supported in -stbv or -stdt mode.\n");
1541 }
1542
1543 for (auto module : sorted_modules)
1544 {
1545 if (module->get_bool_attribute("\\blackbox") || module->has_memories_warn() || module->has_processes_warn())
1546 continue;
1547
1548 log("Creating SMT-LIBv2 representation of module %s.\n", log_id(module));
1549
1550 Smt2Worker worker(module, bvmode, memmode, wiresmode, verbose, statebv, statedt, forallmode, mod_stbv_width, mod_clk_cache);
1551 worker.run();
1552 worker.write(*f);
1553
1554 if (module == topmod)
1555 topmod_id = worker.get_id(module);
1556 }
1557
1558 if (topmod)
1559 *f << stringf("; yosys-smt2-topmod %s\n", topmod_id.c_str());
1560
1561 *f << stringf("; end of yosys output\n");
1562
1563 if (template_f.is_open()) {
1564 std::string line;
1565 while (std::getline(template_f, line))
1566 *f << line << std::endl;
1567 }
1568 }
1569 } Smt2Backend;
1570
1571 PRIVATE_NAMESPACE_END