Merge branch 'master' of https://github.com/dh73/yosys_gowin into dh73-master
[yosys.git] / backends / verilog / Makefile.inc
1
2 OBJS += backends/verilog/verilog_backend.o
3