Merge pull request #50 from antonblanchard/decode1-opt
[microwatt.git] / decode1.vhdl
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4
5 library work;
6 use work.common.all;
7 use work.decode_types.all;
8
9 entity decode1 is
10 port (
11 clk : in std_ulogic;
12 rst : in std_ulogic;
13
14 stall_in : in std_ulogic;
15 flush_in : in std_ulogic;
16
17 f_in : in Fetch2ToDecode1Type;
18 d_out : out Decode1ToDecode2Type
19 );
20 end entity decode1;
21
22 architecture behaviour of decode1 is
23 signal r, rin : Decode1ToDecode2Type;
24
25 type decode_rom_array_t is array(ppc_insn_t) of decode_rom_t;
26
27 -- Note: reformat with column -t -o ' '
28 constant decode_rom_array : decode_rom_array_t := (
29 -- unit internal in1 in2 in3 out const const const CR CR cry cry ldst BR sgn upd rsrv mul mul rc lk sgl
30 -- op 1 2 3 in out in out len ext 32 sgn pipe
31 PPC_ILLEGAL => (ALU, OP_ILLEGAL, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
32 PPC_ADD => (ALU, OP_ADD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
33 PPC_ADDC => (ALU, OP_ADDC, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
34 PPC_ADDE => (ALU, OP_ADDC, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '1', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
35 --PPC_ADDEX
36 PPC_ADDI => (ALU, OP_ADD, RA_OR_ZERO, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
37 PPC_ADDIC => (ALU, OP_ADDC, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
38 PPC_ADDIC_RC => (ALU, OP_ADDC, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', ONE, '0', '1'),
39 PPC_ADDIS => (ALU, OP_ADD, RA_OR_ZERO, CONST_SI_HI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
40 --PPC_ADDME
41 --PPC_ADDPCIS
42 PPC_ADDZE => (ALU, OP_ADDC, RA, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '1', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
43 PPC_AND => (ALU, OP_AND, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
44 PPC_ANDC => (ALU, OP_ANDC, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
45 PPC_ANDI_RC => (ALU, OP_AND, RS, CONST_UI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', ONE, '0', '1'),
46 PPC_ANDIS_RC => (ALU, OP_AND, RS, CONST_UI_HI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', ONE, '0', '1'),
47 PPC_ATTN => (ALU, OP_ILLEGAL, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
48 PPC_B => (ALU, OP_B, NONE, CONST_LI, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '1', '1'),
49 --PPC_BA
50 PPC_BC => (ALU, OP_BC, NONE, CONST_BD, NONE, NONE, BO, BI, NONE, '1', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '1', '1'),
51 --PPC_BCA
52 PPC_BCCTR => (ALU, OP_BCCTR, NONE, NONE, NONE, NONE, BO, BI, BH, '1', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '1', '1'),
53 --PPC_BCLA
54 PPC_BCLR => (ALU, OP_BCLR, NONE, NONE, NONE, NONE, BO, BI, BH, '1', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '1', '1'),
55 --PPC_BCTAR
56 --PPC_BPERM
57 PPC_CMP => (ALU, OP_CMP, RA, RB, NONE, NONE, BF, L, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
58 PPC_CMPB => (ALU, OP_CMPB, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
59 --PPC_CMPEQB
60 PPC_CMPI => (ALU, OP_CMP, RA, CONST_SI, NONE, NONE, BF, L, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
61 PPC_CMPL => (ALU, OP_CMPL, RA, RB, NONE, NONE, BF, L, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
62 PPC_CMPLI => (ALU, OP_CMPL, RA, CONST_UI, NONE, NONE, BF, L, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
63 --PPC_CMPRB
64 PPC_CNTLZD => (ALU, OP_CNTLZD, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
65 PPC_CNTLZW => (ALU, OP_CNTLZW, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
66 PPC_CNTTZD => (ALU, OP_CNTTZD, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
67 PPC_CNTTZW => (ALU, OP_CNTTZW, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
68 --PPC_CRAND
69 --PPC_CRANDC
70 --PPC_CREQV
71 --PPC_CRNAND
72 --PPC_CRNOR
73 --PPC_CROR
74 --PPC_CRORC
75 --PPC_CRXOR
76 --PPC_DARN
77 PPC_DCBF => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
78 PPC_DCBST => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
79 PPC_DCBT => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
80 PPC_DCBTST => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
81 --PPC_DCBZ
82 PPC_DIVD => (ALU, OP_DIVD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
83 --PPC_DIVDE
84 --PPC_DIVDEU
85 PPC_DIVDU => (ALU, OP_DIVDU, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
86 PPC_DIVW => (ALU, OP_DIVW, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
87 --PPC_DIVWE
88 --PPC_DIVWEU
89 PPC_DIVWU => (ALU, OP_DIVWU, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
90 PPC_EQV => (ALU, OP_EQV, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
91 PPC_EXTSB => (ALU, OP_EXTSB, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
92 PPC_EXTSH => (ALU, OP_EXTSH, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
93 PPC_EXTSW => (ALU, OP_EXTSW, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
94 --PPC_EXTSWSLI
95 --PPC_ICBI
96 PPC_ICBT => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
97 PPC_ISEL => (ALU, OP_ISEL, RA_OR_ZERO, RB, NONE, RT, BC, NONE, NONE, '1', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
98 PPC_ISYNC => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
99 PPC_LBARX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '1', '0', '0', NONE, '0', '1'),
100 --CONST_LI matches CONST_SI, so reuse it
101 PPC_LBZ => (LDST, OP_LOAD, RA_OR_ZERO, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
102 PPC_LBZU => (LDST, OP_LOAD, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '1', '0', '0', '0', NONE, '0', '1'),
103 PPC_LBZUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '1', '0', '0', '0', NONE, '0', '1'),
104 PPC_LBZX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
105 PPC_LD => (LDST, OP_LOAD, RA_OR_ZERO, CONST_DS, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
106 PPC_LDARX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '1', '0', '0', NONE, '0', '1'),
107 PPC_LDBRX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '1', '0', '0', '0', '0', '0', NONE, '0', '1'),
108 PPC_LDU => (LDST, OP_LOAD, RA, CONST_DS, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '1', '0', '0', '0', NONE, '0', '1'),
109 PPC_LDUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '1', '0', '0', '0', NONE, '0', '1'),
110 PPC_LDX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
111 PPC_LHA => (LDST, OP_LOAD, RA_OR_ZERO, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '1', '0', '0', '0', '0', NONE, '0', '1'),
112 PPC_LHARX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '1', '0', '0', NONE, '0', '1'),
113 PPC_LHAU => (LDST, OP_LOAD, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '1', '1', '0', '0', '0', NONE, '0', '1'),
114 PPC_LHAUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '1', '1', '0', '0', '0', NONE, '0', '1'),
115 PPC_LHAX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '1', '0', '0', '0', '0', NONE, '0', '1'),
116 PPC_LHBRX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '1', '0', '0', '0', '0', '0', NONE, '0', '1'),
117 PPC_LHZ => (LDST, OP_LOAD, RA_OR_ZERO, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
118 PPC_LHZU => (LDST, OP_LOAD, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '1', '0', '0', '0', NONE, '0', '1'),
119 PPC_LHZUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '1', '0', '0', '0', NONE, '0', '1'),
120 PPC_LHZX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
121 PPC_LWA => (LDST, OP_LOAD, RA_OR_ZERO, CONST_DS, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '1', '0', '0', '0', '0', NONE, '0', '1'),
122 PPC_LWARX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '1', '0', '0', NONE, '0', '1'),
123 PPC_LWAUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '1', '1', '0', '0', '0', NONE, '0', '1'),
124 PPC_LWAX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '1', '0', '0', '0', '0', NONE, '0', '1'),
125 PPC_LWBRX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '1', '0', '0', '0', '0', '0', NONE, '0', '1'),
126 PPC_LWZ => (LDST, OP_LOAD, RA_OR_ZERO, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
127 PPC_LWZU => (LDST, OP_LOAD, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '1', '0', '0', '0', NONE, '0', '1'),
128 PPC_LWZUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '1', '0', '0', '0', NONE, '0', '1'),
129 PPC_LWZX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
130 --PPC_MADDHD
131 --PPC_MADDHDU
132 --PPC_MADDLD
133 --PPC_MCRF
134 --PPC_MCRXR
135 --PPC_MCRXRX
136 PPC_MFCR => (ALU, OP_MFCR, NONE, NONE, NONE, RT, NONE, NONE, NONE, '1', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
137 PPC_MFOCRF => (ALU, OP_MFOCRF, NONE, NONE, NONE, RT, FXM, NONE, NONE, '1', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
138 PPC_MFCTR => (ALU, OP_MFCTR, NONE, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
139 PPC_MFLR => (ALU, OP_MFLR, NONE, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
140 PPC_MFTB => (ALU, OP_MFTB, NONE, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
141 PPC_MTCTR => (ALU, OP_MTCTR, RS, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
142 PPC_MTLR => (ALU, OP_MTLR, RS, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
143 --PPC_MFSPR
144 --PPC_MODSD
145 --PPC_MODSW
146 --PPC_MODUD
147 --PPC_MODUW
148 PPC_MTCRF => (ALU, OP_MTCRF, RS, NONE, NONE, NONE, FXM, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
149 PPC_MTOCRF => (ALU, OP_MTOCRF, RS, NONE, NONE, NONE, FXM, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
150 --PPC_MTSPR
151 PPC_MULHD => (MUL, OP_MUL_H64, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '1', RC, '0', '1'),
152 PPC_MULHDU => (MUL, OP_MUL_H64, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
153 PPC_MULHW => (MUL, OP_MUL_H32, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '1', '1', RC, '0', '1'),
154 PPC_MULHWU => (MUL, OP_MUL_H32, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '1', '0', RC, '0', '1'),
155 PPC_MULLD => (MUL, OP_MUL_L64, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '1', RC, '0', '1'),
156 PPC_MULLI => (MUL, OP_MUL_L64, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '1', NONE, '0', '1'),
157 PPC_MULLW => (MUL, OP_MUL_L64, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '1', '1', RC, '0', '1'),
158 PPC_NAND => (ALU, OP_NAND, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
159 PPC_NEG => (ALU, OP_NEG, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
160 PPC_NOR => (ALU, OP_NOR, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
161 PPC_OR => (ALU, OP_OR, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
162 PPC_ORC => (ALU, OP_ORC, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
163 PPC_ORI => (ALU, OP_OR, RS, CONST_UI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
164 PPC_ORIS => (ALU, OP_OR, RS, CONST_UI_HI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
165 PPC_POPCNTB => (ALU, OP_POPCNTB, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
166 PPC_POPCNTD => (ALU, OP_POPCNTD, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
167 PPC_POPCNTW => (ALU, OP_POPCNTW, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
168 PPC_PRTYD => (ALU, OP_PRTYD, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
169 PPC_PRTYW => (ALU, OP_PRTYW, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
170 PPC_RLDCL => (ALU, OP_RLDCL, RS, RB, NONE, RA, NONE, MB, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
171 PPC_RLDCR => (ALU, OP_RLDCR, RS, RB, NONE, RA, NONE, MB, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
172 PPC_RLDIC => (ALU, OP_RLDIC, RS, NONE, NONE, RA, SH, MB, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
173 PPC_RLDICL => (ALU, OP_RLDICL, RS, NONE, NONE, RA, SH, MB, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
174 PPC_RLDICR => (ALU, OP_RLDICR, RS, NONE, NONE, RA, SH, ME, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
175 PPC_RLDIMI => (ALU, OP_RLDIMI, RA, RS, NONE, RA, SH, MB, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
176 PPC_RLWIMI => (ALU, OP_RLWIMI, RA, RS, NONE, RA, SH32, MB32, ME32, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
177 PPC_RLWINM => (ALU, OP_RLWINM, RS, NONE, NONE, RA, SH32, MB32, ME32, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
178 PPC_RLWNM => (ALU, OP_RLWNM, RS, RB, NONE, RA, NONE, MB32, ME32, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
179 --PPC_SETB
180 PPC_SLD => (ALU, OP_SLD, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
181 PPC_SLW => (ALU, OP_SLW, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
182 PPC_SRAD => (ALU, OP_SRAD, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
183 PPC_SRADI => (ALU, OP_SRADI, RS, NONE, NONE, RA, SH, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
184 PPC_SRAW => (ALU, OP_SRAW, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
185 PPC_SRAWI => (ALU, OP_SRAWI, RS, NONE, NONE, RA, SH, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
186 PPC_SRD => (ALU, OP_SRD, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
187 PPC_SRW => (ALU, OP_SRW, RS, RB, RS, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
188 PPC_STB => (LDST, OP_STORE, RA_OR_ZERO, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
189 PPC_STBCX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '1', '0', '0', RC, '0', '1'),
190 PPC_STBU => (LDST, OP_STORE, RA, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '1', '0', '0', '0', RC, '0', '1'),
191 PPC_STBUX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '1', '0', '0', '0', RC, '0', '1'),
192 PPC_STBX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
193 PPC_STD => (LDST, OP_STORE, RA_OR_ZERO, CONST_DS, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
194 PPC_STDBRX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '1', '0', '0', '0', '0', '0', NONE, '0', '1'),
195 PPC_STDCX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '1', '0', '0', NONE, '0', '1'),
196 PPC_STDU => (LDST, OP_STORE, RA, CONST_DS, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '1', '0', '0', '0', NONE, '0', '1'),
197 PPC_STDUX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '1', '0', '0', '0', NONE, '0', '1'),
198 PPC_STDX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
199 PPC_STH => (LDST, OP_STORE, RA, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
200 PPC_STHBRX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '1', '0', '0', '0', '0', '0', NONE, '0', '1'),
201 PPC_STHCX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '1', '0', '0', NONE, '0', '1'),
202 PPC_STHU => (LDST, OP_STORE, RA, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '1', '0', '0', '0', NONE, '0', '1'),
203 PPC_STHUX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '1', '0', '0', '0', NONE, '0', '1'),
204 PPC_STHX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
205 PPC_STW => (LDST, OP_STORE, RA_OR_ZERO, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
206 PPC_STWBRX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '1', '0', '0', '0', '0', '0', NONE, '0', '1'),
207 PPC_STWCX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '1', '0', '0', NONE, '0', '1'),
208 PPC_STWU => (LDST, OP_STORE, RA, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '1', '0', '0', '0', NONE, '0', '1'),
209 PPC_STWUX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '1', '0', '0', '0', NONE, '0', '1'),
210 PPC_STWX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
211 PPC_SUBF => (ALU, OP_SUBF, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
212 PPC_SUBFC => (ALU, OP_SUBFC, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
213 PPC_SUBFE => (ALU, OP_SUBFC, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '1', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
214 PPC_SUBFIC => (ALU, OP_SUBFC, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
215 --PPC_SUBFME
216 PPC_SUBFZE => (ALU, OP_SUBFC, RA, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '1', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
217 PPC_SYNC => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
218 --PPC_TD
219 PPC_TDI => (ALU, OP_TDI, RA, CONST_SI, NONE, NONE, TOO, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
220 --PPC_TW
221 --PPC_TWI
222 PPC_XOR => (ALU, OP_XOR, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0', '1'),
223 PPC_XORI => (ALU, OP_XOR, RS, CONST_UI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
224 PPC_XORIS => (ALU, OP_XOR, RS, CONST_UI_HI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
225 PPC_SIM_CONFIG => (ALU, OP_SIM_CONFIG,NONE, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0', '1'),
226
227 others => decode_rom_init
228 );
229
230 begin
231 decode1_0: process(clk)
232 begin
233 if rising_edge(clk) then
234 -- Output state remains unchanged on stall, unless we are flushing
235 if rst = '1' or flush_in = '1' or stall_in = '0' then
236 r <= rin;
237 end if;
238 end if;
239 end process;
240
241 decode1_1: process(all)
242 variable v : Decode1ToDecode2Type;
243 variable ppc_insn: ppc_insn_t;
244 begin
245 v := r;
246
247 v.valid := f_in.valid;
248 v.nia := f_in.nia;
249 v.insn := f_in.insn;
250
251 ppc_insn := PPC_ILLEGAL;
252
253 if f_in.valid = '1' then
254 report "Decode insn " & to_hstring(f_in.insn) & " at " & to_hstring(f_in.nia);
255
256 if std_match(f_in.insn, "011111---------------0100001010-") then
257 report "PPC_add";
258 ppc_insn := PPC_ADD;
259 elsif std_match(f_in.insn, "011111---------------0000001010-") then
260 report "PPC_addc";
261 ppc_insn := PPC_ADDC;
262 elsif std_match(f_in.insn, "011111---------------0010001010-") then
263 report "PPC_adde";
264 ppc_insn := PPC_ADDE;
265 elsif std_match(f_in.insn, "011111---------------0010101010-") then
266 report "PPC_addex";
267 ppc_insn := PPC_ADDEX;
268 elsif std_match(f_in.insn, "001110--------------------------") then
269 report "PPC_addi";
270 ppc_insn := PPC_ADDI;
271 elsif std_match(f_in.insn, "001100--------------------------") then
272 report "PPC_addic";
273 ppc_insn := PPC_ADDIC;
274 elsif std_match(f_in.insn, "001101--------------------------") then
275 report "PPC_addic.";
276 ppc_insn := PPC_ADDIC_RC;
277 elsif std_match(f_in.insn, "001111--------------------------") then
278 report "PPC_addis";
279 ppc_insn := PPC_ADDIS;
280 elsif std_match(f_in.insn, "011111---------------0011101010-") then
281 report "PPC_addme";
282 ppc_insn := PPC_ADDME;
283 elsif std_match(f_in.insn, "010011--------------------00010-") then
284 report "PPC_addpcis";
285 ppc_insn := PPC_ADDPCIS;
286 elsif std_match(f_in.insn, "011111---------------0011001010-") then
287 report "PPC_addze";
288 ppc_insn := PPC_ADDZE;
289 elsif std_match(f_in.insn, "011111---------------0000011100-") then
290 report "PPC_and";
291 ppc_insn := PPC_AND;
292 elsif std_match(f_in.insn, "011111---------------0000111100-") then
293 report "PPC_andc";
294 ppc_insn := PPC_ANDC;
295 elsif std_match(f_in.insn, "011100--------------------------") then
296 report "PPC_andi.";
297 ppc_insn := PPC_ANDI_RC;
298 elsif std_match(f_in.insn, "011101--------------------------") then
299 report "PPC_andis.";
300 ppc_insn := PPC_ANDIS_RC;
301 elsif std_match(f_in.insn, "000000---------------0100000000-") then
302 report "PPC_attn";
303 ppc_insn := PPC_ATTN;
304 elsif std_match(f_in.insn, "010010------------------------0-") then
305 report "PPC_b";
306 ppc_insn := PPC_B;
307 elsif std_match(f_in.insn, "010010------------------------1-") then
308 report "PPC_ba";
309 ppc_insn := PPC_BA;
310 elsif std_match(f_in.insn, "010000------------------------0-") then
311 report "PPC_bc";
312 ppc_insn := PPC_BC;
313 elsif std_match(f_in.insn, "010000------------------------10") then
314 report "PPC_bca";
315 ppc_insn := PPC_BCA;
316 elsif std_match(f_in.insn, "010011---------------1000010000-") then
317 report "PPC_bcctr";
318 ppc_insn := PPC_BCCTR;
319 elsif std_match(f_in.insn, "010000------------------------11") then
320 report "PPC_bcla";
321 ppc_insn := PPC_BCLA;
322 elsif std_match(f_in.insn, "010011---------------0000010000-") then
323 report "PPC_bclr";
324 ppc_insn := PPC_BCLR;
325 elsif std_match(f_in.insn, "010011---------------1000110000-") then
326 report "PPC_bctar";
327 ppc_insn := PPC_BCTAR;
328 elsif std_match(f_in.insn, "011111---------------0011111100-") then
329 report "PPC_bperm";
330 ppc_insn := PPC_BPERM;
331 elsif std_match(f_in.insn, "011111---------------0000000000-") then
332 report "PPC_cmp";
333 ppc_insn := PPC_CMP;
334 elsif std_match(f_in.insn, "011111---------------0111111100-") then
335 report "PPC_cmpb";
336 ppc_insn := PPC_CMPB;
337 elsif std_match(f_in.insn, "011111---------------0011100000-") then
338 report "PPC_cmpeqb";
339 ppc_insn := PPC_CMPEQB;
340 elsif std_match(f_in.insn, "001011--------------------------") then
341 report "PPC_cmpi";
342 ppc_insn := PPC_CMPI;
343 elsif std_match(f_in.insn, "011111---------------0000100000-") then
344 report "PPC_cmpl";
345 ppc_insn := PPC_CMPL;
346 elsif std_match(f_in.insn, "001010--------------------------") then
347 report "PPC_cmpli";
348 ppc_insn := PPC_CMPLI;
349 elsif std_match(f_in.insn, "011111---------------0011000000-") then
350 report "PPC_cmprb";
351 ppc_insn := PPC_CMPRB;
352 elsif std_match(f_in.insn, "011111---------------0000111010-") then
353 report "PPC_cntlzd";
354 ppc_insn := PPC_CNTLZD;
355 elsif std_match(f_in.insn, "011111---------------0000011010-") then
356 report "PPC_cntlzw";
357 ppc_insn := PPC_CNTLZW;
358 elsif std_match(f_in.insn, "011111---------------1000111010-") then
359 report "PPC_cnttzd";
360 ppc_insn := PPC_CNTTZD;
361 elsif std_match(f_in.insn, "011111---------------1000011010-") then
362 report "PPC_cnttzw";
363 ppc_insn := PPC_CNTTZW;
364 elsif std_match(f_in.insn, "010011---------------0100000001-") then
365 report "PPC_crand";
366 ppc_insn := PPC_CRAND;
367 elsif std_match(f_in.insn, "010011---------------0010000001-") then
368 report "PPC_crandc";
369 ppc_insn := PPC_CRANDC;
370 elsif std_match(f_in.insn, "010011---------------0100100001-") then
371 report "PPC_creqv";
372 ppc_insn := PPC_CREQV;
373 elsif std_match(f_in.insn, "010011---------------0011100001-") then
374 report "PPC_crnand";
375 ppc_insn := PPC_CRNAND;
376 elsif std_match(f_in.insn, "010011---------------0000100001-") then
377 report "PPC_crnor";
378 ppc_insn := PPC_CRNOR;
379 elsif std_match(f_in.insn, "010011---------------0111000001-") then
380 report "PPC_cror";
381 ppc_insn := PPC_CROR;
382 elsif std_match(f_in.insn, "010011---------------0110100001-") then
383 report "PPC_crorc";
384 ppc_insn := PPC_CRORC;
385 elsif std_match(f_in.insn, "010011---------------0011000001-") then
386 report "PPC_crxor";
387 ppc_insn := PPC_CRXOR;
388 elsif std_match(f_in.insn, "011111---------------1011110011-") then
389 report "PPC_darn";
390 ppc_insn := PPC_DARN;
391 elsif std_match(f_in.insn, "011111---------------0001010110-") then
392 report "PPC_dcbf";
393 ppc_insn := PPC_DCBF;
394 elsif std_match(f_in.insn, "011111---------------0000110110-") then
395 report "PPC_dcbst";
396 ppc_insn := PPC_DCBST;
397 elsif std_match(f_in.insn, "011111---------------0100010110-") then
398 report "PPC_dcbt";
399 ppc_insn := PPC_DCBT;
400 elsif std_match(f_in.insn, "011111---------------0011110110-") then
401 report "PPC_dcbtst";
402 ppc_insn := PPC_DCBTST;
403 elsif std_match(f_in.insn, "011111---------------1111110110-") then
404 report "PPC_dcbz";
405 ppc_insn := PPC_DCBZ;
406 elsif std_match(f_in.insn, "011111---------------0111101001-") then
407 report "PPC_divd";
408 ppc_insn := PPC_DIVD;
409 elsif std_match(f_in.insn, "011111---------------0110101001-") then
410 report "PPC_divde";
411 ppc_insn := PPC_DIVDE;
412 elsif std_match(f_in.insn, "011111---------------0110001001-") then
413 report "PPC_divdeu";
414 ppc_insn := PPC_DIVDEU;
415 elsif std_match(f_in.insn, "011111---------------0111001001-") then
416 report "PPC_divdu";
417 ppc_insn := PPC_DIVDU;
418 elsif std_match(f_in.insn, "011111---------------0111101011-") then
419 report "PPC_divw";
420 ppc_insn := PPC_DIVW;
421 elsif std_match(f_in.insn, "011111---------------0110101011-") then
422 report "PPC_divwe";
423 ppc_insn := PPC_DIVWE;
424 elsif std_match(f_in.insn, "011111---------------0110001011-") then
425 report "PPC_divweu";
426 ppc_insn := PPC_DIVWEU;
427 elsif std_match(f_in.insn, "011111---------------0111001011-") then
428 report "PPC_divwu";
429 ppc_insn := PPC_DIVWU;
430 elsif std_match(f_in.insn, "011111---------------0100011100-") then
431 report "PPC_eqv";
432 ppc_insn := PPC_EQV;
433 elsif std_match(f_in.insn, "011111---------------1110111010-") then
434 report "PPC_extsb";
435 ppc_insn := PPC_EXTSB;
436 elsif std_match(f_in.insn, "011111---------------1110011010-") then
437 report "PPC_extsh";
438 ppc_insn := PPC_EXTSH;
439 elsif std_match(f_in.insn, "011111---------------1111011010-") then
440 report "PPC_extsw";
441 ppc_insn := PPC_EXTSW;
442 elsif std_match(f_in.insn, "011111---------------110111101--") then
443 report "PPC_extswsli";
444 ppc_insn := PPC_EXTSWSLI;
445 elsif std_match(f_in.insn, "011111---------------1111010110-") then
446 report "PPC_icbi";
447 ppc_insn := PPC_ICBI;
448 elsif std_match(f_in.insn, "011111---------------0000010110-") then
449 report "PPC_icbt";
450 ppc_insn := PPC_ICBT;
451 elsif std_match(f_in.insn, "011111--------------------01111-") then
452 report "PPC_isel";
453 ppc_insn := PPC_ISEL;
454 elsif std_match(f_in.insn, "010011---------------0010010110-") then
455 report "PPC_isync";
456 ppc_insn := PPC_ISYNC;
457 elsif std_match(f_in.insn, "011111---------------0000110100-") then
458 report "PPC_lbarx";
459 ppc_insn := PPC_LBARX;
460 elsif std_match(f_in.insn, "100010--------------------------") then
461 report "PPC_lbz";
462 ppc_insn := PPC_LBZ;
463 elsif std_match(f_in.insn, "100011--------------------------") then
464 report "PPC_lbzu";
465 ppc_insn := PPC_LBZU;
466 elsif std_match(f_in.insn, "011111---------------0001110111-") then
467 report "PPC_lbzux";
468 ppc_insn := PPC_LBZUX;
469 elsif std_match(f_in.insn, "011111---------------0001010111-") then
470 report "PPC_lbzx";
471 ppc_insn := PPC_LBZX;
472 elsif std_match(f_in.insn, "111010------------------------00") then
473 report "PPC_ld";
474 ppc_insn := PPC_LD;
475 elsif std_match(f_in.insn, "011111---------------0001010100-") then
476 report "PPC_ldarx";
477 ppc_insn := PPC_LDARX;
478 elsif std_match(f_in.insn, "011111---------------1000010100-") then
479 report "PPC_ldbrx";
480 ppc_insn := PPC_LDBRX;
481 elsif std_match(f_in.insn, "111010------------------------01") then
482 report "PPC_ldu";
483 ppc_insn := PPC_LDU;
484 elsif std_match(f_in.insn, "011111---------------0000110101-") then
485 report "PPC_ldux";
486 ppc_insn := PPC_LDUX;
487 elsif std_match(f_in.insn, "011111---------------0000010101-") then
488 report "PPC_ldx";
489 ppc_insn := PPC_LDX;
490 elsif std_match(f_in.insn, "101010--------------------------") then
491 report "PPC_lha";
492 ppc_insn := PPC_LHA;
493 elsif std_match(f_in.insn, "011111---------------0001110100-") then
494 report "PPC_lharx";
495 ppc_insn := PPC_LHARX;
496 elsif std_match(f_in.insn, "101011--------------------------") then
497 report "PPC_lhau";
498 ppc_insn := PPC_LHAU;
499 elsif std_match(f_in.insn, "011111---------------0101110111-") then
500 report "PPC_lhaux";
501 ppc_insn := PPC_LHAUX;
502 elsif std_match(f_in.insn, "011111---------------0101010111-") then
503 report "PPC_lhax";
504 ppc_insn := PPC_LHAX;
505 elsif std_match(f_in.insn, "011111---------------1100010110-") then
506 report "PPC_lhbrx";
507 ppc_insn := PPC_LHBRX;
508 elsif std_match(f_in.insn, "101000--------------------------") then
509 report "PPC_lhz";
510 ppc_insn := PPC_LHZ;
511 elsif std_match(f_in.insn, "101001--------------------------") then
512 report "PPC_lhzu";
513 ppc_insn := PPC_LHZU;
514 elsif std_match(f_in.insn, "011111---------------0100110111-") then
515 report "PPC_lhzux";
516 ppc_insn := PPC_LHZUX;
517 elsif std_match(f_in.insn, "011111---------------0100010111-") then
518 report "PPC_lhzx";
519 ppc_insn := PPC_LHZX;
520 elsif std_match(f_in.insn, "111010------------------------10") then
521 report "PPC_lwa";
522 ppc_insn := PPC_LWA;
523 elsif std_match(f_in.insn, "011111---------------0000010100-") then
524 report "PPC_lwarx";
525 ppc_insn := PPC_LWARX;
526 elsif std_match(f_in.insn, "011111---------------0101110101-") then
527 report "PPC_lwaux";
528 ppc_insn := PPC_LWAUX;
529 elsif std_match(f_in.insn, "011111---------------0101010101-") then
530 report "PPC_lwax";
531 ppc_insn := PPC_LWAX;
532 elsif std_match(f_in.insn, "011111---------------1000010110-") then
533 report "PPC_lwbrx";
534 ppc_insn := PPC_LWBRX;
535 elsif std_match(f_in.insn, "100000--------------------------") then
536 report "PPC_lwz";
537 ppc_insn := PPC_LWZ;
538 elsif std_match(f_in.insn, "100001--------------------------") then
539 report "PPC_lwzu";
540 ppc_insn := PPC_LWZU;
541 elsif std_match(f_in.insn, "011111---------------0000110111-") then
542 report "PPC_lwzux";
543 ppc_insn := PPC_LWZUX;
544 elsif std_match(f_in.insn, "011111---------------0000010111-") then
545 report "PPC_lwzx";
546 ppc_insn := PPC_LWZX;
547 elsif std_match(f_in.insn, "000100--------------------110000") then
548 report "PPC_maddhd";
549 ppc_insn := PPC_MADDHD;
550 elsif std_match(f_in.insn, "000100--------------------110001") then
551 report "PPC_maddhdu";
552 ppc_insn := PPC_MADDHDU;
553 elsif std_match(f_in.insn, "000100--------------------110011") then
554 report "PPC_maddld";
555 ppc_insn := PPC_MADDLD;
556 elsif std_match(f_in.insn, "010011---------------0000000000-") then
557 report "PPC_mcrf";
558 ppc_insn := PPC_MCRF;
559 elsif std_match(f_in.insn, "011111---------------1000000000-") then
560 report "PPC_mcrxr";
561 ppc_insn := PPC_MCRXR;
562 elsif std_match(f_in.insn, "011111---------------1001000000-") then
563 report "PPC_mcrxrx";
564 ppc_insn := PPC_MCRXRX;
565 elsif std_match(f_in.insn, "011111-----0---------0000010011-") then
566 report "PPC_mfcr";
567 ppc_insn := PPC_MFCR;
568 elsif std_match(f_in.insn, "011111-----1---------0000010011-") then
569 report "PPC_mfocrf";
570 ppc_insn := PPC_MFOCRF;
571 -- Specific MF/MT SPR encodings first
572 elsif std_match(f_in.insn, "011111-----01001000000101010011-") then
573 report "PPC_mfctr";
574 ppc_insn := PPC_MFCTR;
575 elsif std_match(f_in.insn, "011111-----01000000000101010011-") then
576 report "PPC_mflr";
577 ppc_insn := PPC_MFLR;
578 elsif std_match(f_in.insn, "011111-----01100010000101010011-") then
579 report "PPC_mftb";
580 ppc_insn := PPC_MFTB;
581 elsif std_match(f_in.insn, "011111-----01001000000111010011-") then
582 report "PPC_mtctr";
583 ppc_insn := PPC_MTCTR;
584 elsif std_match(f_in.insn, "011111-----01000000000111010011-") then
585 report "PPC_mtlr";
586 ppc_insn := PPC_MTLR;
587 elsif std_match(f_in.insn, "011111---------------0101010011-") then
588 report "PPC_mfspr";
589 ppc_insn := PPC_MFSPR;
590 elsif std_match(f_in.insn, "011111---------------1100001001-") then
591 report "PPC_modsd";
592 ppc_insn := PPC_MODSD;
593 elsif std_match(f_in.insn, "011111---------------1100001011-") then
594 report "PPC_modsw";
595 ppc_insn := PPC_MODSW;
596 elsif std_match(f_in.insn, "011111---------------0100001001-") then
597 report "PPC_modud";
598 ppc_insn := PPC_MODUD;
599 elsif std_match(f_in.insn, "011111---------------0100001011-") then
600 report "PPC_moduw";
601 ppc_insn := PPC_MODUW;
602 elsif std_match(f_in.insn, "011111-----0---------0010010000-") then
603 report "PPC_mtcrf";
604 ppc_insn := PPC_MTCRF;
605 elsif std_match(f_in.insn, "011111-----1---------0010010000-") then
606 report "PPC_mtocrf";
607 ppc_insn := PPC_MTOCRF;
608 elsif std_match(f_in.insn, "011111---------------0111010011-") then
609 report "PPC_mtspr";
610 ppc_insn := PPC_MTSPR;
611 elsif std_match(f_in.insn, "011111----------------001001001-") then
612 report "PPC_mulhd";
613 ppc_insn := PPC_MULHD;
614 elsif std_match(f_in.insn, "011111----------------000001001-") then
615 report "PPC_mulhdu";
616 ppc_insn := PPC_MULHDU;
617 elsif std_match(f_in.insn, "011111----------------001001011-") then
618 report "PPC_mulhw";
619 ppc_insn := PPC_MULHW;
620 elsif std_match(f_in.insn, "011111----------------000001011-") then
621 report "PPC_mulhwu";
622 ppc_insn := PPC_MULHWU;
623 elsif std_match(f_in.insn, "011111---------------0011101001-") then
624 report "PPC_mulld";
625 ppc_insn := PPC_MULLD;
626 elsif std_match(f_in.insn, "000111--------------------------") then
627 report "PPC_mulli";
628 ppc_insn := PPC_MULLI;
629 elsif std_match(f_in.insn, "011111---------------0011101011-") then
630 report "PPC_mullw";
631 ppc_insn := PPC_MULLW;
632 elsif std_match(f_in.insn, "011111---------------0111011100-") then
633 report "PPC_nand";
634 ppc_insn := PPC_NAND;
635 elsif std_match(f_in.insn, "011111---------------0001101000-") then
636 report "PPC_neg";
637 ppc_insn := PPC_NEG;
638 elsif std_match(f_in.insn, "011111---------------0001111100-") then
639 report "PPC_nor";
640 ppc_insn := PPC_NOR;
641 elsif std_match(f_in.insn, "011111---------------0110111100-") then
642 report "PPC_or";
643 ppc_insn := PPC_OR;
644 elsif std_match(f_in.insn, "011111---------------0110011100-") then
645 report "PPC_orc";
646 ppc_insn := PPC_ORC;
647 elsif std_match(f_in.insn, "011000--------------------------") then
648 report "PPC_ori";
649 ppc_insn := PPC_ORI;
650 elsif std_match(f_in.insn, "011001--------------------------") then
651 report "PPC_oris";
652 ppc_insn := PPC_ORIS;
653 elsif std_match(f_in.insn, "011111---------------0001111010-") then
654 report "PPC_popcntb";
655 ppc_insn := PPC_POPCNTB;
656 elsif std_match(f_in.insn, "011111---------------0111111010-") then
657 report "PPC_popcntd";
658 ppc_insn := PPC_POPCNTD;
659 elsif std_match(f_in.insn, "011111---------------0101111010-") then
660 report "PPC_popcntw";
661 ppc_insn := PPC_POPCNTW;
662 elsif std_match(f_in.insn, "011111---------------0010111010-") then
663 report "PPC_prtyd";
664 ppc_insn := PPC_PRTYD;
665 elsif std_match(f_in.insn, "011111---------------0010011010-") then
666 report "PPC_prtyw";
667 ppc_insn := PPC_PRTYW;
668 elsif std_match(f_in.insn, "011110---------------------1000-") then
669 report "PPC_rldcl";
670 ppc_insn := PPC_RLDCL;
671 elsif std_match(f_in.insn, "011110---------------------1001-") then
672 report "PPC_rldcr";
673 ppc_insn := PPC_RLDCR;
674 elsif std_match(f_in.insn, "011110---------------------010--") then
675 report "PPC_rldic";
676 ppc_insn := PPC_RLDIC;
677 elsif std_match(f_in.insn, "011110---------------------000--") then
678 report "PPC_rldicl";
679 ppc_insn := PPC_RLDICL;
680 elsif std_match(f_in.insn, "011110---------------------001--") then
681 report "PPC_rldicr";
682 ppc_insn := PPC_RLDICR;
683 elsif std_match(f_in.insn, "011110---------------------011--") then
684 report "PPC_rldimi";
685 ppc_insn := PPC_RLDIMI;
686 elsif std_match(f_in.insn, "010100--------------------------") then
687 report "PPC_rlwimi";
688 ppc_insn := PPC_RLWIMI;
689 elsif std_match(f_in.insn, "010101--------------------------") then
690 report "PPC_rlwinm";
691 ppc_insn := PPC_RLWINM;
692 elsif std_match(f_in.insn, "010111--------------------------") then
693 report "PPC_rlwnm";
694 ppc_insn := PPC_RLWNM;
695 elsif std_match(f_in.insn, "011111---------------0010000000-") then
696 report "PPC_setb";
697 ppc_insn := PPC_SETB;
698 elsif std_match(f_in.insn, "011111---------------0000011011-") then
699 report "PPC_sld";
700 ppc_insn := PPC_SLD;
701 elsif std_match(f_in.insn, "011111---------------0000011000-") then
702 report "PPC_slw";
703 ppc_insn := PPC_SLW;
704 elsif std_match(f_in.insn, "011111---------------1100011010-") then
705 report "PPC_srad";
706 ppc_insn := PPC_SRAD;
707 elsif std_match(f_in.insn, "011111---------------110011101--") then
708 report "PPC_sradi";
709 ppc_insn := PPC_SRADI;
710 elsif std_match(f_in.insn, "011111---------------1100011000-") then
711 report "PPC_sraw";
712 ppc_insn := PPC_SRAW;
713 elsif std_match(f_in.insn, "011111---------------1100111000-") then
714 report "PPC_srawi";
715 ppc_insn := PPC_SRAWI;
716 elsif std_match(f_in.insn, "011111---------------1000011011-") then
717 report "PPC_srd";
718 ppc_insn := PPC_SRD;
719 elsif std_match(f_in.insn, "011111---------------1000011000-") then
720 report "PPC_srw";
721 ppc_insn := PPC_SRW;
722 elsif std_match(f_in.insn, "100110--------------------------") then
723 report "PPC_stb";
724 ppc_insn := PPC_STB;
725 elsif std_match(f_in.insn, "011111---------------1010110110-") then
726 report "PPC_stbcx";
727 ppc_insn := PPC_STBCX;
728 elsif std_match(f_in.insn, "100111--------------------------") then
729 report "PPC_stbu";
730 ppc_insn := PPC_STBU;
731 elsif std_match(f_in.insn, "011111---------------0011110111-") then
732 report "PPC_stbux";
733 ppc_insn := PPC_STBUX;
734 elsif std_match(f_in.insn, "011111---------------0011010111-") then
735 report "PPC_stbx";
736 ppc_insn := PPC_STBX;
737 elsif std_match(f_in.insn, "111110------------------------00") then
738 report "PPC_std";
739 ppc_insn := PPC_STD;
740 elsif std_match(f_in.insn, "011111---------------1010010100-") then
741 report "PPC_stdbrx";
742 ppc_insn := PPC_STDBRX;
743 elsif std_match(f_in.insn, "011111---------------0011010110-") then
744 report "PPC_stdcx";
745 ppc_insn := PPC_STDCX;
746 elsif std_match(f_in.insn, "111110------------------------01") then
747 report "PPC_stdu";
748 ppc_insn := PPC_STDU;
749 elsif std_match(f_in.insn, "011111---------------0010110101-") then
750 report "PPC_stdux";
751 ppc_insn := PPC_STDUX;
752 elsif std_match(f_in.insn, "011111---------------0010010101-") then
753 report "PPC_stdx";
754 ppc_insn := PPC_STDX;
755 elsif std_match(f_in.insn, "101100--------------------------") then
756 report "PPC_sth";
757 ppc_insn := PPC_STH;
758 elsif std_match(f_in.insn, "011111---------------1110010110-") then
759 report "PPC_sthbrx";
760 ppc_insn := PPC_STHBRX;
761 elsif std_match(f_in.insn, "011111---------------1011010110-") then
762 report "PPC_sthcx";
763 ppc_insn := PPC_STHCX;
764 elsif std_match(f_in.insn, "101101--------------------------") then
765 report "PPC_sthu";
766 ppc_insn := PPC_STHU;
767 elsif std_match(f_in.insn, "011111---------------0110110111-") then
768 report "PPC_sthux";
769 ppc_insn := PPC_STHUX;
770 elsif std_match(f_in.insn, "011111---------------0110010111-") then
771 report "PPC_sthx";
772 ppc_insn := PPC_STHX;
773 elsif std_match(f_in.insn, "100100--------------------------") then
774 report "PPC_stw";
775 ppc_insn := PPC_STW;
776 elsif std_match(f_in.insn, "011111---------------1010010110-") then
777 report "PPC_stwbrx";
778 ppc_insn := PPC_STWBRX;
779 elsif std_match(f_in.insn, "011111---------------0010010110-") then
780 report "PPC_stwcx";
781 ppc_insn := PPC_STWCX;
782 elsif std_match(f_in.insn, "100101--------------------------") then
783 report "PPC_stwu";
784 ppc_insn := PPC_STWU;
785 elsif std_match(f_in.insn, "011111---------------0010110111-") then
786 report "PPC_stwux";
787 ppc_insn := PPC_STWUX;
788 elsif std_match(f_in.insn, "011111---------------0010010111-") then
789 report "PPC_stwx";
790 ppc_insn := PPC_STWX;
791 elsif std_match(f_in.insn, "011111---------------0000101000-") then
792 report "PPC_subf";
793 ppc_insn := PPC_SUBF;
794 elsif std_match(f_in.insn, "011111---------------0000001000-") then
795 report "PPC_subfc";
796 ppc_insn := PPC_SUBFC;
797 elsif std_match(f_in.insn, "011111---------------0010001000-") then
798 report "PPC_subfe";
799 ppc_insn := PPC_SUBFE;
800 elsif std_match(f_in.insn, "001000--------------------------") then
801 report "PPC_subfic";
802 ppc_insn := PPC_SUBFIC;
803 elsif std_match(f_in.insn, "011111---------------0011101000-") then
804 report "PPC_subfme";
805 ppc_insn := PPC_SUBFME;
806 elsif std_match(f_in.insn, "011111---------------0011001000-") then
807 report "PPC_subfze";
808 ppc_insn := PPC_SUBFZE;
809 elsif std_match(f_in.insn, "011111---------------1001010110-") then
810 report "PPC_sync";
811 ppc_insn := PPC_SYNC;
812 elsif std_match(f_in.insn, "011111---------------0001000100-") then
813 report "PPC_td";
814 ppc_insn := PPC_TD;
815 elsif std_match(f_in.insn, "000010--------------------------") then
816 report "PPC_tdi";
817 ppc_insn := PPC_TDI;
818 elsif std_match(f_in.insn, "011111---------------0000000100-") then
819 report "PPC_tw";
820 ppc_insn := PPC_TW;
821 elsif std_match(f_in.insn, "000011--------------------------") then
822 report "PPC_twi";
823 ppc_insn := PPC_TWI;
824 elsif std_match(f_in.insn, "011111---------------0100111100-") then
825 report "PPC_xor";
826 ppc_insn := PPC_XOR;
827 elsif std_match(f_in.insn, "011010--------------------------") then
828 report "PPC_xori";
829 ppc_insn := PPC_XORI;
830 elsif std_match(f_in.insn, "011011--------------------------") then
831 report "PPC_xoris";
832 ppc_insn := PPC_XORIS;
833 elsif std_match(f_in.insn, "000001---------------0000000011-") then
834 report "PPC_SIM_CONFIG";
835 ppc_insn := PPC_SIM_CONFIG;
836 else
837 report "PPC_illegal";
838 ppc_insn := PPC_ILLEGAL;
839 end if;
840
841 v.decode := decode_rom_array(ppc_insn);
842 end if;
843
844 if flush_in = '1' then
845 v.valid := '0';
846 end if;
847
848 if rst = '1' then
849 v := Decode1ToDecode2Init;
850 end if;
851
852 -- Update registers
853 rin <= v;
854
855 -- Update outputs
856 d_out <= r;
857 end process;
858 end architecture behaviour;