65df8d3c9f4f7c8333ffb3a709449d7dcda00208
[SymbiYosys.git] / docs / examples / puzzles / primegen.sby
1 [options]
2 mode cover
3 depth 1
4
5 [engines]
6 smtbmc --dumpsmt2 --stbv z3
7
8 [script]
9 read_verilog -formal primegen.v
10 prep -top primegen
11
12 [files]
13 primegen.v