Merge pull request #3310 from robinsonb5-PRs/master
[yosys.git] / examples / anlogic / build.tcl
1 import_device eagle_s20.db -package BG256
2 read_verilog full.v -top demo
3 read_adc demo.adc
4 optimize_rtl
5 map_macro
6 map
7 pack
8 place
9 route
10 report_area -io_info -file demo_phy.area
11 bitgen -bit demo.bit -version 0X0000 -svf demo.svf -svf_comment_on -g ucode:00000000000000000000000000000000