Merge pull request #1130 from YosysHQ/eddie/fix710
[yosys.git] / examples / anlogic / demo.adc
1 set_pin_assignment {CLK_IN} { LOCATION = K14; } ##24MHZ
2 set_pin_assignment {R_LED} { LOCATION = R3; } ##R_LED