Merge pull request #7 from YosysHQ/master
[yosys.git] / examples / anlogic / demo.adc
1 set_pin_assignment {CLK_IN} { LOCATION = K14; } ##24MHZ
2 set_pin_assignment {R_LED} { LOCATION = R3; } ##R_LED