aiger: -xaiger to parse initial state back into (* init *) on Q wire
[yosys.git] / examples / anlogic / demo.ys
1 read_verilog demo.v
2 synth_anlogic -top demo
3 write_verilog full.v