Merge pull request #3 from YosysHQ/master
[yosys.git] / examples / basys3 / run_vivado.tcl
1 read_xdc example.xdc
2 read_edif example.edif
3 link_design -part xc7a35tcpg236-1 -top example
4 opt_design
5 place_design
6 route_design
7 report_utilization
8 report_timing
9 write_bitstream -force example.bit