Update examples/cmos/counter.ys to use "synth" command
[yosys.git] / examples / cmos / README
1
2 In this directory contains an example for generating a spice output using two
3 different spice modes, normal analog transient simulation and event-driven
4 digital simulation as supported by ngspice xspice sub-module.
5
6 Each test bench can be run separately by either running:
7
8 - testbench.sh, to start analog simulation or
9 - testbench_digital.sh for mixed-signal digital simulation.
10
11 The later case also includes pure verilog simulation using the iverilog
12 and gtkwave for comparison.
13