Update examples/cmos/counter.ys to use "synth" command
[yosys.git] / examples / cmos / cmos_cells_digital.sp
1
2 .SUBCKT BUF A Y
3 .model buffer1 d_buffer
4 Abuf A Y buffer1
5 .ENDS NOT
6
7 .SUBCKT NOT A Y
8 .model not1 d_inverter
9 Anot A Y not1
10 .ENDS NOT
11
12 .SUBCKT NAND A B Y
13 .model nand1 d_nand
14 Anand [A B] Y nand1
15 .ENDS NAND
16
17 .SUBCKT NOR A B Y
18 .model nor1 d_nor
19 Anand [A B] Y nor1
20 .ENDS NOR
21
22 .SUBCKT DLATCH E D Q
23 .model latch1 d_latch
24 Alatch D E null null Q nQ latch1
25 .ENDS DLATCH
26
27 .SUBCKT DFF C D Q
28 .model dff1 d_dff
29 Adff D C null null Q nQ dff1
30 .ENDS DFF
31