Update examples/cmos/counter.ys to use "synth" command
[yosys.git] / examples / cmos / counter.v
1 module counter (clk, rst, en, count);
2
3 input clk, rst, en;
4 output reg [2:0] count;
5
6 always @(posedge clk)
7 if (rst)
8 count <= 3'd0;
9 else if (en)
10 count <= count + 3'd1;
11
12 endmodule