Cleanups and improvements in examples/cmos/
[yosys.git] / examples / cmos / counter.ys
1
2 read_verilog counter.v
3 read_verilog -lib cmos_cells.v
4
5 proc;; memory;; techmap;;
6
7 dfflibmap -liberty cmos_cells.lib
8 abc -liberty cmos_cells.lib;;
9
10 # http://vlsiarch.ecen.okstate.edu/flows/MOSIS_SCMOS/latest/cadence/lib/tsmc025/signalstorm/osu025_stdcells.lib
11 # dfflibmap -liberty osu025_stdcells.lib
12 # abc -liberty osu025_stdcells.lib;;
13
14 write_verilog synth.v
15 write_spice synth.sp
16