Update examples/cmos/counter.ys to use "synth" command
[yosys.git] / examples / cmos / counter.ys
1 read_verilog counter.v
2 read_verilog -lib cmos_cells.v
3
4 synth
5 dfflibmap -liberty cmos_cells.lib
6 abc -liberty cmos_cells.lib
7 opt_clean
8
9 stat -liberty cmos_cells.lib
10
11 # http://vlsiarch.ecen.okstate.edu/flows/MOSIS_SCMOS/latest/cadence/lib/tsmc025/signalstorm/osu025_stdcells.lib
12 # dfflibmap -liberty osu025_stdcells.lib
13 # abc -liberty osu025_stdcells.lib;;
14
15 write_verilog synth.v
16 write_spice synth.sp