Update examples/cmos/counter.ys to use "synth" command
[yosys.git] / examples / cmos / testbench.sh
1 #!/bin/bash
2
3 set -ex
4
5 ../../yosys counter.ys
6 ngspice testbench.sp
7