Add demonstration of breakage
[yosys.git] / examples / gowin / demo.cst
1 IO_LOC "clk" 35;
2 //IO_LOC "rst_n" 77;
3 IO_LOC "leds[0]" 79;
4 IO_LOC "leds[1]" 80;
5 IO_LOC "leds[2]" 81;
6 IO_LOC "leds[3]" 82;
7 IO_LOC "leds[4]" 83;
8 IO_LOC "leds[5]" 84;
9 IO_LOC "leds[6]" 85;
10 IO_LOC "leds[7]" 86;