Add demonstration of breakage
[yosys.git] / examples / gowin / demo.sdc
1 create_clock -name clk -period 20 -waveform {0 10} [get_ports {clk}]