Add tests/various/async.{sh,v}
[yosys.git] / examples / gowin / demo.v
1 module demo (
2 input clk,
3 input [3:0] sw,
4 output [15:0] leds,
5 output [7:0] seg7dig,
6 output [3:0] seg7sel
7 );
8 localparam PRESCALE = 20;
9 reg [PRESCALE+3:0] counter = 0;
10 always @(posedge clk) counter <= counter + 1;
11 assign leds = 1 << counter[PRESCALE +: 4];
12 endmodule