Merge pull request #3310 from robinsonb5-PRs/master
[yosys.git] / examples / gowin / run.sh
1 #!/bin/bash
2 set -ex
3 yosys -p "synth_gowin -top demo -vout demo_syn.v" demo.v
4 $GOWIN_HOME/bin/gowin -d demo_syn.v -cst demo.cst -sdc demo.sdc -p GW1NR-9-QFN88-6 -pn GW1NR-LV9QN88C6/I5 -cfg device.cfg -bit -tr -ph -timing -gpa -rpt -warning_all
5
6 # post place&route simulation (icarus verilog)
7 if false; then
8 iverilog -D POST_IMPL -o testbench -s testbench testbench.v \
9 demo_out.v $(yosys-config --datdir/gowin/cells_sim.v)
10 vvp -N testbench
11 fi