Merge pull request #2005 from YosysHQ/claire/fix1990
[yosys.git] / frontends / ast / ast.h
1 /* -*- c++ -*-
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 * ---
19 *
20 * This is the AST frontend library.
21 *
22 * The AST frontend library is not a frontend on it's own but provides a
23 * generic abstract syntax tree (AST) abstraction for HDL code and can be
24 * used by HDL frontends. See "ast.h" for an overview of the API and the
25 * Verilog frontend for an usage example.
26 *
27 */
28
29 #ifndef AST_H
30 #define AST_H
31
32 #include "kernel/rtlil.h"
33 #include <stdint.h>
34 #include <set>
35
36 YOSYS_NAMESPACE_BEGIN
37
38 namespace AST
39 {
40 // all node types, type2str() must be extended
41 // whenever a new node type is added here
42 enum AstNodeType
43 {
44 AST_NONE,
45 AST_DESIGN,
46 AST_MODULE,
47 AST_TASK,
48 AST_FUNCTION,
49 AST_DPI_FUNCTION,
50
51 AST_WIRE,
52 AST_MEMORY,
53 AST_AUTOWIRE,
54 AST_PARAMETER,
55 AST_LOCALPARAM,
56 AST_DEFPARAM,
57 AST_PARASET,
58 AST_ARGUMENT,
59 AST_RANGE,
60 AST_MULTIRANGE,
61 AST_CONSTANT,
62 AST_REALVALUE,
63 AST_CELLTYPE,
64 AST_IDENTIFIER,
65 AST_PREFIX,
66 AST_ASSERT,
67 AST_ASSUME,
68 AST_LIVE,
69 AST_FAIR,
70 AST_COVER,
71 AST_ENUM,
72 AST_ENUM_ITEM,
73
74 AST_FCALL,
75 AST_TO_BITS,
76 AST_TO_SIGNED,
77 AST_TO_UNSIGNED,
78 AST_SELFSZ,
79 AST_CONCAT,
80 AST_REPLICATE,
81 AST_BIT_NOT,
82 AST_BIT_AND,
83 AST_BIT_OR,
84 AST_BIT_XOR,
85 AST_BIT_XNOR,
86 AST_REDUCE_AND,
87 AST_REDUCE_OR,
88 AST_REDUCE_XOR,
89 AST_REDUCE_XNOR,
90 AST_REDUCE_BOOL,
91 AST_SHIFT_LEFT,
92 AST_SHIFT_RIGHT,
93 AST_SHIFT_SLEFT,
94 AST_SHIFT_SRIGHT,
95 AST_SHIFTX,
96 AST_SHIFT,
97 AST_LT,
98 AST_LE,
99 AST_EQ,
100 AST_NE,
101 AST_EQX,
102 AST_NEX,
103 AST_GE,
104 AST_GT,
105 AST_ADD,
106 AST_SUB,
107 AST_MUL,
108 AST_DIV,
109 AST_MOD,
110 AST_POW,
111 AST_POS,
112 AST_NEG,
113 AST_LOGIC_AND,
114 AST_LOGIC_OR,
115 AST_LOGIC_NOT,
116 AST_TERNARY,
117 AST_MEMRD,
118 AST_MEMWR,
119 AST_MEMINIT,
120
121 AST_TCALL,
122 AST_ASSIGN,
123 AST_CELL,
124 AST_PRIMITIVE,
125 AST_CELLARRAY,
126 AST_ALWAYS,
127 AST_INITIAL,
128 AST_BLOCK,
129 AST_ASSIGN_EQ,
130 AST_ASSIGN_LE,
131 AST_CASE,
132 AST_COND,
133 AST_CONDX,
134 AST_CONDZ,
135 AST_DEFAULT,
136 AST_FOR,
137 AST_WHILE,
138 AST_REPEAT,
139
140 AST_GENVAR,
141 AST_GENFOR,
142 AST_GENIF,
143 AST_GENCASE,
144 AST_GENBLOCK,
145 AST_TECALL,
146
147 AST_POSEDGE,
148 AST_NEGEDGE,
149 AST_EDGE,
150
151 AST_INTERFACE,
152 AST_INTERFACEPORT,
153 AST_INTERFACEPORTTYPE,
154 AST_MODPORT,
155 AST_MODPORTMEMBER,
156 AST_PACKAGE,
157
158 AST_WIRETYPE,
159 AST_TYPEDEF
160 };
161
162 struct AstSrcLocType {
163 unsigned int first_line, last_line;
164 unsigned int first_column, last_column;
165 AstSrcLocType() : first_line(0), last_line(0), first_column(0), last_column(0) {}
166 AstSrcLocType(int _first_line, int _first_column, int _last_line, int _last_column) : first_line(_first_line), last_line(_last_line), first_column(_first_column), last_column(_last_column) {}
167 };
168
169 // convert an node type to a string (e.g. for debug output)
170 std::string type2str(AstNodeType type);
171
172 // The AST is built using instances of this struct
173 struct AstNode
174 {
175 // for dict<> and pool<>
176 unsigned int hashidx_;
177 unsigned int hash() const { return hashidx_; }
178
179 // this nodes type
180 AstNodeType type;
181
182 // the list of child nodes for this node
183 std::vector<AstNode*> children;
184
185 // the list of attributes assigned to this node
186 std::map<RTLIL::IdString, AstNode*> attributes;
187 bool get_bool_attribute(RTLIL::IdString id);
188
189 // node content - most of it is unused in most node types
190 std::string str;
191 std::vector<RTLIL::State> bits;
192 bool is_input, is_output, is_reg, is_logic, is_signed, is_string, is_wand, is_wor, range_valid, range_swapped, was_checked, is_unsized, is_custom_type;
193 int port_id, range_left, range_right;
194 uint32_t integer;
195 double realvalue;
196 // set for IDs typed to an enumeration, not used
197 bool is_enum;
198
199 // if this is a multirange memory then this vector contains offset and length of each dimension
200 std::vector<int> multirange_dimensions;
201
202 // this is set by simplify and used during RTLIL generation
203 AstNode *id2ast;
204
205 // this is used by simplify to detect if basic analysis has been performed already on the node
206 bool basic_prep;
207
208 // this is used for ID references in RHS expressions that should use the "new" value for non-blocking assignments
209 bool lookahead;
210
211 // this is the original sourcecode location that resulted in this AST node
212 // it is automatically set by the constructor using AST::current_filename and
213 // the AST::get_line_num() callback function.
214 std::string filename;
215 AstSrcLocType location;
216
217 // creating and deleting nodes
218 AstNode(AstNodeType type = AST_NONE, AstNode *child1 = NULL, AstNode *child2 = NULL, AstNode *child3 = NULL);
219 AstNode *clone() const;
220 void cloneInto(AstNode *other) const;
221 void delete_children();
222 ~AstNode();
223
224 enum mem2reg_flags
225 {
226 /* status flags */
227 MEM2REG_FL_ALL = 0x00000001,
228 MEM2REG_FL_ASYNC = 0x00000002,
229 MEM2REG_FL_INIT = 0x00000004,
230
231 /* candidate flags */
232 MEM2REG_FL_FORCED = 0x00000100,
233 MEM2REG_FL_SET_INIT = 0x00000200,
234 MEM2REG_FL_SET_ELSE = 0x00000400,
235 MEM2REG_FL_SET_ASYNC = 0x00000800,
236 MEM2REG_FL_EQ2 = 0x00001000,
237 MEM2REG_FL_CMPLX_LHS = 0x00002000,
238 MEM2REG_FL_CONST_LHS = 0x00004000,
239 MEM2REG_FL_VAR_LHS = 0x00008000,
240
241 /* proc flags */
242 MEM2REG_FL_EQ1 = 0x01000000,
243 };
244
245 // simplify() creates a simpler AST by unrolling for-loops, expanding generate blocks, etc.
246 // it also sets the id2ast pointers so that identifier lookups are fast in genRTLIL()
247 bool simplify(bool const_fold, bool at_zero, bool in_lvalue, int stage, int width_hint, bool sign_hint, bool in_param);
248 AstNode *readmem(bool is_readmemh, std::string mem_filename, AstNode *memory, int start_addr, int finish_addr, bool unconditional_init);
249 void expand_genblock(std::string index_var, std::string prefix, std::map<std::string, std::string> &name_map);
250 void replace_ids(const std::string &prefix, const std::map<std::string, std::string> &rules);
251 void mem2reg_as_needed_pass1(dict<AstNode*, pool<std::string>> &mem2reg_places,
252 dict<AstNode*, uint32_t> &mem2reg_flags, dict<AstNode*, uint32_t> &proc_flags, uint32_t &status_flags);
253 bool mem2reg_as_needed_pass2(pool<AstNode*> &mem2reg_set, AstNode *mod, AstNode *block, AstNode *&async_block);
254 bool mem2reg_check(pool<AstNode*> &mem2reg_set);
255 void mem2reg_remove(pool<AstNode*> &mem2reg_set, vector<AstNode*> &delnodes);
256 void meminfo(int &mem_width, int &mem_size, int &addr_bits);
257
258 // additional functionality for evaluating constant functions
259 struct varinfo_t { RTLIL::Const val; int offset; bool is_signed; };
260 bool has_const_only_constructs(bool &recommend_const_eval);
261 void replace_variables(std::map<std::string, varinfo_t> &variables, AstNode *fcall);
262 AstNode *eval_const_function(AstNode *fcall);
263 bool is_simple_const_expr();
264 std::string process_format_str(const std::string &sformat, int next_arg, int stage, int width_hint, bool sign_hint);
265
266 // create a human-readable text representation of the AST (for debugging)
267 void dumpAst(FILE *f, std::string indent) const;
268 void dumpVlog(FILE *f, std::string indent) const;
269
270 // used by genRTLIL() for detecting expression width and sign
271 void detectSignWidthWorker(int &width_hint, bool &sign_hint, bool *found_real = NULL);
272 void detectSignWidth(int &width_hint, bool &sign_hint, bool *found_real = NULL);
273
274 // create RTLIL code for this AST node
275 // for expressions the resulting signal vector is returned
276 // all generated cell instances, etc. are written to the RTLIL::Module pointed to by AST_INTERNAL::current_module
277 RTLIL::SigSpec genRTLIL(int width_hint = -1, bool sign_hint = false);
278 RTLIL::SigSpec genWidthRTLIL(int width, const dict<RTLIL::SigBit, RTLIL::SigBit> *new_subst_ptr = NULL);
279
280 // compare AST nodes
281 bool operator==(const AstNode &other) const;
282 bool operator!=(const AstNode &other) const;
283 bool contains(const AstNode *other) const;
284
285 // helper functions for creating AST nodes for constants
286 static AstNode *mkconst_int(uint32_t v, bool is_signed, int width = 32);
287 static AstNode *mkconst_bits(const std::vector<RTLIL::State> &v, bool is_signed, bool is_unsized);
288 static AstNode *mkconst_bits(const std::vector<RTLIL::State> &v, bool is_signed);
289 static AstNode *mkconst_str(const std::vector<RTLIL::State> &v);
290 static AstNode *mkconst_str(const std::string &str);
291
292 // helper function for creating sign-extended const objects
293 RTLIL::Const bitsAsConst(int width, bool is_signed);
294 RTLIL::Const bitsAsConst(int width = -1);
295 RTLIL::Const bitsAsUnsizedConst(int width);
296 RTLIL::Const asAttrConst();
297 RTLIL::Const asParaConst();
298 uint64_t asInt(bool is_signed);
299 bool bits_only_01() const;
300 bool asBool() const;
301
302 // helper functions for real valued const eval
303 int isConst() const; // return '1' for AST_CONSTANT and '2' for AST_REALVALUE
304 double asReal(bool is_signed);
305 RTLIL::Const realAsConst(int width);
306
307 // helpers for enum
308 void allocateDefaultEnumValues();
309 };
310
311 // process an AST tree (ast must point to an AST_DESIGN node) and generate RTLIL code
312 void process(RTLIL::Design *design, AstNode *ast, bool dump_ast1, bool dump_ast2, bool no_dump_ptr, bool dump_vlog1, bool dump_vlog2, bool dump_rtlil, bool nolatches, bool nomeminit,
313 bool nomem2reg, bool mem2reg, bool noblackbox, bool lib, bool nowb, bool noopt, bool icells, bool pwires, bool nooverwrite, bool overwrite, bool defer, bool autowire);
314
315 // parametric modules are supported directly by the AST library
316 // therefore we need our own derivate of RTLIL::Module with overloaded virtual functions
317 struct AstModule : RTLIL::Module {
318 AstNode *ast;
319 bool nolatches, nomeminit, nomem2reg, mem2reg, noblackbox, lib, nowb, noopt, icells, pwires, autowire;
320 ~AstModule() YS_OVERRIDE;
321 RTLIL::IdString derive(RTLIL::Design *design, const dict<RTLIL::IdString, RTLIL::Const> &parameters, bool mayfail) YS_OVERRIDE;
322 RTLIL::IdString derive(RTLIL::Design *design, const dict<RTLIL::IdString, RTLIL::Const> &parameters, const dict<RTLIL::IdString, RTLIL::Module*> &interfaces, const dict<RTLIL::IdString, RTLIL::IdString> &modports, bool mayfail) YS_OVERRIDE;
323 std::string derive_common(RTLIL::Design *design, const dict<RTLIL::IdString, RTLIL::Const> &parameters, AstNode **new_ast_out, bool quiet = false);
324 void reprocess_module(RTLIL::Design *design, const dict<RTLIL::IdString, RTLIL::Module *> &local_interfaces) YS_OVERRIDE;
325 RTLIL::Module *clone() const YS_OVERRIDE;
326 void loadconfig() const;
327 };
328
329 // this must be set by the language frontend before parsing the sources
330 // the AstNode constructor then uses current_filename and get_line_num()
331 // to initialize the filename and linenum properties of new nodes
332 extern std::string current_filename;
333 extern void (*set_line_num)(int);
334 extern int (*get_line_num)();
335
336 // set set_line_num and get_line_num to internal dummy functions (done by simplify() and AstModule::derive
337 // to control the filename and linenum properties of new nodes not generated by a frontend parser)
338 void use_internal_line_num();
339
340 // call a DPI function
341 AstNode *dpi_call(const std::string &rtype, const std::string &fname, const std::vector<std::string> &argtypes, const std::vector<AstNode*> &args);
342
343 // Helper functions related to handling SystemVerilog interfaces
344 std::pair<std::string,std::string> split_modport_from_type(std::string name_type);
345 AstNode * find_modport(AstNode *intf, std::string name);
346 void explode_interface_port(AstNode *module_ast, RTLIL::Module * intfmodule, std::string intfname, AstNode *modport);
347 }
348
349 namespace AST_INTERNAL
350 {
351 // internal state variables
352 extern bool flag_dump_ast1, flag_dump_ast2, flag_no_dump_ptr, flag_dump_rtlil, flag_nolatches, flag_nomeminit;
353 extern bool flag_nomem2reg, flag_mem2reg, flag_lib, flag_noopt, flag_icells, flag_pwires, flag_autowire;
354 extern AST::AstNode *current_ast, *current_ast_mod;
355 extern std::map<std::string, AST::AstNode*> current_scope;
356 extern const dict<RTLIL::SigBit, RTLIL::SigBit> *genRTLIL_subst_ptr;
357 extern RTLIL::SigSpec ignoreThisSignalsInInitial;
358 extern AST::AstNode *current_always, *current_top_block, *current_block, *current_block_child;
359 extern AST::AstModule *current_module;
360 extern bool current_always_clocked;
361 struct LookaheadRewriter;
362 struct ProcessGenerator;
363 }
364
365 YOSYS_NAMESPACE_END
366
367 #endif