Merge pull request #1718 from boqwxp/precise_locations
[yosys.git] / frontends / ast / simplify.cc
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 * ---
19 *
20 * This is the AST frontend library.
21 *
22 * The AST frontend library is not a frontend on it's own but provides a
23 * generic abstract syntax tree (AST) abstraction for HDL code and can be
24 * used by HDL frontends. See "ast.h" for an overview of the API and the
25 * Verilog frontend for an usage example.
26 *
27 */
28
29 #include "kernel/log.h"
30 #include "libs/sha1/sha1.h"
31 #include "frontends/verilog/verilog_frontend.h"
32 #include "ast.h"
33
34 #include <sstream>
35 #include <stdarg.h>
36 #include <stdlib.h>
37 #include <math.h>
38
39 YOSYS_NAMESPACE_BEGIN
40
41 using namespace AST;
42 using namespace AST_INTERNAL;
43
44 // Process a format string and arguments for $display, $write, $sprintf, etc
45
46 std::string AstNode::process_format_str(const std::string &sformat, int next_arg, int stage, int width_hint, bool sign_hint) {
47 // Other arguments are placeholders. Process the string as we go through it
48 std::string sout;
49 for (size_t i = 0; i < sformat.length(); i++)
50 {
51 // format specifier
52 if (sformat[i] == '%')
53 {
54 // If there's no next character, that's a problem
55 if (i+1 >= sformat.length())
56 log_file_error(filename, location.first_line, "System task `%s' called with `%%' at end of string.\n", str.c_str());
57
58 char cformat = sformat[++i];
59
60 // %% is special, does not need a matching argument
61 if (cformat == '%')
62 {
63 sout += '%';
64 continue;
65 }
66
67 // Simplify the argument
68 AstNode *node_arg = nullptr;
69
70 // Everything from here on depends on the format specifier
71 switch (cformat)
72 {
73 case 's':
74 case 'S':
75 case 'd':
76 case 'D':
77 case 'x':
78 case 'X':
79 if (next_arg >= GetSize(children))
80 log_file_error(filename, location.first_line, "Missing argument for %%%c format specifier in system task `%s'.\n",
81 cformat, str.c_str());
82
83 node_arg = children[next_arg++];
84 while (node_arg->simplify(true, false, false, stage, width_hint, sign_hint, false)) { }
85 if (node_arg->type != AST_CONSTANT)
86 log_file_error(filename, location.first_line, "Failed to evaluate system task `%s' with non-constant argument.\n", str.c_str());
87 break;
88
89 case 'm':
90 case 'M':
91 break;
92
93 default:
94 log_file_error(filename, location.first_line, "System task `%s' called with invalid/unsupported format specifier.\n", str.c_str());
95 break;
96 }
97
98 switch (cformat)
99 {
100 case 's':
101 case 'S':
102 sout += node_arg->bitsAsConst().decode_string();
103 break;
104
105 case 'd':
106 case 'D':
107 {
108 char tmp[128];
109 snprintf(tmp, sizeof(tmp), "%d", node_arg->bitsAsConst().as_int());
110 sout += tmp;
111 }
112 break;
113
114 case 'x':
115 case 'X':
116 {
117 char tmp[128];
118 snprintf(tmp, sizeof(tmp), "%x", node_arg->bitsAsConst().as_int());
119 sout += tmp;
120 }
121 break;
122
123 case 'm':
124 case 'M':
125 sout += log_id(current_module->name);
126 break;
127
128 default:
129 log_abort();
130 }
131 }
132
133 // not a format specifier
134 else
135 sout += sformat[i];
136 }
137 return sout;
138 }
139
140
141 // convert the AST into a simpler AST that has all parameters substituted by their
142 // values, unrolled for-loops, expanded generate blocks, etc. when this function
143 // is done with an AST it can be converted into RTLIL using genRTLIL().
144 //
145 // this function also does all name resolving and sets the id2ast member of all
146 // nodes that link to a different node using names and lexical scoping.
147 bool AstNode::simplify(bool const_fold, bool at_zero, bool in_lvalue, int stage, int width_hint, bool sign_hint, bool in_param)
148 {
149 static int recursion_counter = 0;
150 static bool deep_recursion_warning = false;
151
152 if (recursion_counter++ == 1000 && deep_recursion_warning) {
153 log_warning("Deep recursion in AST simplifier.\nDoes this design contain insanely long expressions?\n");
154 deep_recursion_warning = false;
155 }
156
157 AstNode *newNode = NULL;
158 bool did_something = false;
159
160 #if 0
161 log("-------------\n");
162 log("AST simplify[%d] depth %d at %s:%d on %s %p:\n", stage, recursion_counter, filename.c_str(), location.first_line, type2str(type).c_str(), this);
163 log("const_fold=%d, at_zero=%d, in_lvalue=%d, stage=%d, width_hint=%d, sign_hint=%d, in_param=%d\n",
164 int(const_fold), int(at_zero), int(in_lvalue), int(stage), int(width_hint), int(sign_hint), int(in_param));
165 // dumpAst(NULL, "> ");
166 #endif
167
168 if (stage == 0)
169 {
170 log_assert(type == AST_MODULE || type == AST_INTERFACE);
171
172 deep_recursion_warning = true;
173 while (simplify(const_fold, at_zero, in_lvalue, 1, width_hint, sign_hint, in_param)) { }
174
175 if (!flag_nomem2reg && !get_bool_attribute("\\nomem2reg"))
176 {
177 dict<AstNode*, pool<std::string>> mem2reg_places;
178 dict<AstNode*, uint32_t> mem2reg_candidates, dummy_proc_flags;
179 uint32_t flags = flag_mem2reg ? AstNode::MEM2REG_FL_ALL : 0;
180 mem2reg_as_needed_pass1(mem2reg_places, mem2reg_candidates, dummy_proc_flags, flags);
181
182 pool<AstNode*> mem2reg_set;
183 for (auto &it : mem2reg_candidates)
184 {
185 AstNode *mem = it.first;
186 uint32_t memflags = it.second;
187 bool this_nomeminit = flag_nomeminit;
188 log_assert((memflags & ~0x00ffff00) == 0);
189
190 if (mem->get_bool_attribute("\\nomem2reg"))
191 continue;
192
193 if (mem->get_bool_attribute("\\nomeminit") || get_bool_attribute("\\nomeminit"))
194 this_nomeminit = true;
195
196 if (memflags & AstNode::MEM2REG_FL_FORCED)
197 goto silent_activate;
198
199 if (memflags & AstNode::MEM2REG_FL_EQ2)
200 goto verbose_activate;
201
202 if (memflags & AstNode::MEM2REG_FL_SET_ASYNC)
203 goto verbose_activate;
204
205 if ((memflags & AstNode::MEM2REG_FL_SET_INIT) && (memflags & AstNode::MEM2REG_FL_SET_ELSE) && this_nomeminit)
206 goto verbose_activate;
207
208 if (memflags & AstNode::MEM2REG_FL_CMPLX_LHS)
209 goto verbose_activate;
210
211 if ((memflags & AstNode::MEM2REG_FL_CONST_LHS) && !(memflags & AstNode::MEM2REG_FL_VAR_LHS))
212 goto verbose_activate;
213
214 // log("Note: Not replacing memory %s with list of registers (flags=0x%08lx).\n", mem->str.c_str(), long(memflags));
215 continue;
216
217 verbose_activate:
218 if (mem2reg_set.count(mem) == 0) {
219 std::string message = stringf("Replacing memory %s with list of registers.", mem->str.c_str());
220 bool first_element = true;
221 for (auto &place : mem2reg_places[it.first]) {
222 message += stringf("%s%s", first_element ? " See " : ", ", place.c_str());
223 first_element = false;
224 }
225 log_warning("%s\n", message.c_str());
226 }
227
228 silent_activate:
229 // log("Note: Replacing memory %s with list of registers (flags=0x%08lx).\n", mem->str.c_str(), long(memflags));
230 mem2reg_set.insert(mem);
231 }
232
233 for (auto node : mem2reg_set)
234 {
235 int mem_width, mem_size, addr_bits;
236 node->meminfo(mem_width, mem_size, addr_bits);
237
238 int data_range_left = node->children[0]->range_left;
239 int data_range_right = node->children[0]->range_right;
240
241 if (node->children[0]->range_swapped)
242 std::swap(data_range_left, data_range_right);
243
244 for (int i = 0; i < mem_size; i++) {
245 AstNode *reg = new AstNode(AST_WIRE, new AstNode(AST_RANGE,
246 mkconst_int(data_range_left, true), mkconst_int(data_range_right, true)));
247 reg->str = stringf("%s[%d]", node->str.c_str(), i);
248 reg->is_reg = true;
249 reg->is_signed = node->is_signed;
250 for (auto &it : node->attributes)
251 if (it.first != ID(mem2reg))
252 reg->attributes.emplace(it.first, it.second->clone());
253 reg->filename = node->filename;
254 reg->location = node->location;
255 children.push_back(reg);
256 while (reg->simplify(true, false, false, 1, -1, false, false)) { }
257 }
258 }
259
260 AstNode *async_block = NULL;
261 while (mem2reg_as_needed_pass2(mem2reg_set, this, NULL, async_block)) { }
262
263 vector<AstNode*> delnodes;
264 mem2reg_remove(mem2reg_set, delnodes);
265
266 for (auto node : delnodes)
267 delete node;
268 }
269
270 while (simplify(const_fold, at_zero, in_lvalue, 2, width_hint, sign_hint, in_param)) { }
271 recursion_counter--;
272 return false;
273 }
274
275 current_filename = filename;
276
277 // we do not look inside a task or function
278 // (but as soon as a task or function is instantiated we process the generated AST as usual)
279 if (type == AST_FUNCTION || type == AST_TASK) {
280 recursion_counter--;
281 return false;
282 }
283
284 // deactivate all calls to non-synthesis system tasks
285 // note that $display, $finish, and $stop are used for synthesis-time DRC so they're not in this list
286 if ((type == AST_FCALL || type == AST_TCALL) && (str == "$strobe" || str == "$monitor" || str == "$time" ||
287 str == "$dumpfile" || str == "$dumpvars" || str == "$dumpon" || str == "$dumpoff" || str == "$dumpall")) {
288 log_file_warning(filename, location.first_line, "Ignoring call to system %s %s.\n", type == AST_FCALL ? "function" : "task", str.c_str());
289 delete_children();
290 str = std::string();
291 }
292
293 if ((type == AST_TCALL) && (str == "$display" || str == "$write") && (!current_always || current_always->type != AST_INITIAL)) {
294 log_file_warning(filename, location.first_line, "System task `%s' outside initial block is unsupported.\n", str.c_str());
295 delete_children();
296 str = std::string();
297 }
298
299 // print messages if this a call to $display() or $write()
300 // This code implements only a small subset of Verilog-2005 $display() format specifiers,
301 // but should be good enough for most uses
302 if ((type == AST_TCALL) && ((str == "$display") || (str == "$write")))
303 {
304 int nargs = GetSize(children);
305 if (nargs < 1)
306 log_file_error(filename, location.first_line, "System task `%s' got %d arguments, expected >= 1.\n",
307 str.c_str(), int(children.size()));
308
309 // First argument is the format string
310 AstNode *node_string = children[0];
311 while (node_string->simplify(true, false, false, stage, width_hint, sign_hint, false)) { }
312 if (node_string->type != AST_CONSTANT)
313 log_file_error(filename, location.first_line, "Failed to evaluate system task `%s' with non-constant 1st argument.\n", str.c_str());
314 std::string sformat = node_string->bitsAsConst().decode_string();
315 std::string sout = process_format_str(sformat, 1, stage, width_hint, sign_hint);
316 // Finally, print the message (only include a \n for $display, not for $write)
317 log("%s", sout.c_str());
318 if (str == "$display")
319 log("\n");
320 delete_children();
321 str = std::string();
322 }
323
324 // activate const folding if this is anything that must be evaluated statically (ranges, parameters, attributes, etc.)
325 if (type == AST_WIRE || type == AST_PARAMETER || type == AST_LOCALPARAM || type == AST_ENUM_ITEM || type == AST_DEFPARAM || type == AST_PARASET || type == AST_RANGE || type == AST_PREFIX || type == AST_TYPEDEF)
326 const_fold = true;
327 if (type == AST_IDENTIFIER && current_scope.count(str) > 0 && (current_scope[str]->type == AST_PARAMETER || current_scope[str]->type == AST_LOCALPARAM || current_scope[str]->type == AST_ENUM_ITEM))
328 const_fold = true;
329
330 // in certain cases a function must be evaluated constant. this is what in_param controls.
331 if (type == AST_PARAMETER || type == AST_LOCALPARAM || type == AST_DEFPARAM || type == AST_PARASET || type == AST_PREFIX)
332 in_param = true;
333
334 std::map<std::string, AstNode*> backup_scope;
335
336 // create name resolution entries for all objects with names
337 // also merge multiple declarations for the same wire (e.g. "output foobar; reg foobar;")
338 if (type == AST_MODULE) {
339 current_scope.clear();
340 std::map<std::string, AstNode*> this_wire_scope;
341 for (size_t i = 0; i < children.size(); i++) {
342 AstNode *node = children[i];
343
344 if (node->type == AST_WIRE) {
345 if (node->children.size() == 1 && node->children[0]->type == AST_RANGE) {
346 for (auto c : node->children[0]->children) {
347 if (!c->is_simple_const_expr()) {
348 if (attributes.count("\\dynports"))
349 delete attributes.at("\\dynports");
350 attributes["\\dynports"] = AstNode::mkconst_int(1, true);
351 }
352 }
353 }
354 if (this_wire_scope.count(node->str) > 0) {
355 AstNode *first_node = this_wire_scope[node->str];
356 if (first_node->is_input && node->is_reg)
357 goto wires_are_incompatible;
358 if (!node->is_input && !node->is_output && node->is_reg && node->children.size() == 0)
359 goto wires_are_compatible;
360 if (first_node->children.size() == 0 && node->children.size() == 1 && node->children[0]->type == AST_RANGE) {
361 AstNode *r = node->children[0];
362 if (r->range_valid && r->range_left == 0 && r->range_right == 0) {
363 delete r;
364 node->children.pop_back();
365 }
366 }
367 if (first_node->children.size() != node->children.size())
368 goto wires_are_incompatible;
369 for (size_t j = 0; j < node->children.size(); j++) {
370 AstNode *n1 = first_node->children[j], *n2 = node->children[j];
371 if (n1->type == AST_RANGE && n2->type == AST_RANGE && n1->range_valid && n2->range_valid) {
372 if (n1->range_left != n2->range_left)
373 goto wires_are_incompatible;
374 if (n1->range_right != n2->range_right)
375 goto wires_are_incompatible;
376 } else if (*n1 != *n2)
377 goto wires_are_incompatible;
378 }
379 if (first_node->range_left != node->range_left)
380 goto wires_are_incompatible;
381 if (first_node->range_right != node->range_right)
382 goto wires_are_incompatible;
383 if (first_node->port_id == 0 && (node->is_input || node->is_output))
384 goto wires_are_incompatible;
385 wires_are_compatible:
386 if (node->is_input)
387 first_node->is_input = true;
388 if (node->is_output)
389 first_node->is_output = true;
390 if (node->is_reg)
391 first_node->is_reg = true;
392 if (node->is_logic)
393 first_node->is_logic = true;
394 if (node->is_signed)
395 first_node->is_signed = true;
396 for (auto &it : node->attributes) {
397 if (first_node->attributes.count(it.first) > 0)
398 delete first_node->attributes[it.first];
399 first_node->attributes[it.first] = it.second->clone();
400 }
401 children.erase(children.begin()+(i--));
402 did_something = true;
403 delete node;
404 continue;
405 wires_are_incompatible:
406 if (stage > 1)
407 log_file_error(filename, location.first_line, "Incompatible re-declaration of wire %s.\n", node->str.c_str());
408 continue;
409 }
410 this_wire_scope[node->str] = node;
411 }
412 // these nodes appear at the top level in a module and can define names
413 if (node->type == AST_PARAMETER || node->type == AST_LOCALPARAM || node->type == AST_WIRE || node->type == AST_AUTOWIRE || node->type == AST_GENVAR ||
414 node->type == AST_MEMORY || node->type == AST_FUNCTION || node->type == AST_TASK || node->type == AST_DPI_FUNCTION || node->type == AST_CELL ||
415 node->type == AST_TYPEDEF) {
416 backup_scope[node->str] = current_scope[node->str];
417 current_scope[node->str] = node;
418 }
419 if (node->type == AST_ENUM) {
420 current_scope[node->str] = node;
421 for (auto enode : node->children) {
422 log_assert(enode->type==AST_ENUM_ITEM);
423 if (current_scope.count(enode->str) == 0) {
424 current_scope[enode->str] = enode;
425 }
426 }
427 }
428 }
429 for (size_t i = 0; i < children.size(); i++) {
430 AstNode *node = children[i];
431 if (node->type == AST_PARAMETER || node->type == AST_LOCALPARAM || node->type == AST_WIRE || node->type == AST_AUTOWIRE || node->type == AST_MEMORY || node->type == AST_TYPEDEF)
432 while (node->simplify(true, false, false, 1, -1, false, node->type == AST_PARAMETER || node->type == AST_LOCALPARAM))
433 did_something = true;
434 if (node->type == AST_ENUM) {
435 for (auto enode : node->children){
436 log_assert(enode->type==AST_ENUM_ITEM);
437 while (node->simplify(true, false, false, 1, -1, false, in_param))
438 did_something = true;
439 }
440 }
441 }
442 }
443
444 auto backup_current_block = current_block;
445 auto backup_current_block_child = current_block_child;
446 auto backup_current_top_block = current_top_block;
447 auto backup_current_always = current_always;
448 auto backup_current_always_clocked = current_always_clocked;
449
450 if (type == AST_ALWAYS || type == AST_INITIAL)
451 {
452 if (current_always != nullptr)
453 log_file_error(filename, location.first_line, "Invalid nesting of always blocks and/or initializations.\n");
454
455 current_always = this;
456 current_always_clocked = false;
457
458 if (type == AST_ALWAYS)
459 for (auto child : children) {
460 if (child->type == AST_POSEDGE || child->type == AST_NEGEDGE)
461 current_always_clocked = true;
462 if (child->type == AST_EDGE && GetSize(child->children) == 1 &&
463 child->children[0]->type == AST_IDENTIFIER && child->children[0]->str == "\\$global_clock")
464 current_always_clocked = true;
465 }
466 }
467
468 int backup_width_hint = width_hint;
469 bool backup_sign_hint = sign_hint;
470
471 bool detect_width_simple = false;
472 bool child_0_is_self_determined = false;
473 bool child_1_is_self_determined = false;
474 bool child_2_is_self_determined = false;
475 bool children_are_self_determined = false;
476 bool reset_width_after_children = false;
477
478 switch (type)
479 {
480 case AST_ASSIGN_EQ:
481 case AST_ASSIGN_LE:
482 case AST_ASSIGN:
483 while (!children[0]->basic_prep && children[0]->simplify(false, false, true, stage, -1, false, in_param) == true)
484 did_something = true;
485 while (!children[1]->basic_prep && children[1]->simplify(false, false, false, stage, -1, false, in_param) == true)
486 did_something = true;
487 children[0]->detectSignWidth(backup_width_hint, backup_sign_hint);
488 children[1]->detectSignWidth(width_hint, sign_hint);
489 width_hint = max(width_hint, backup_width_hint);
490 child_0_is_self_determined = true;
491 // test only once, before optimizations and memory mappings but after assignment LHS was mapped to an identifier
492 if (children[0]->id2ast && !children[0]->was_checked) {
493 if ((type == AST_ASSIGN_LE || type == AST_ASSIGN_EQ) && children[0]->id2ast->is_logic)
494 children[0]->id2ast->is_reg = true; // if logic type is used in a block asignment
495 if ((type == AST_ASSIGN_LE || type == AST_ASSIGN_EQ) && !children[0]->id2ast->is_reg)
496 log_warning("wire '%s' is assigned in a block at %s:%d.%d-%d.%d.\n", children[0]->str.c_str(), filename.c_str(), location.first_line, location.first_column, location.last_line, location.last_column);
497 if (type == AST_ASSIGN && children[0]->id2ast->is_reg) {
498 bool is_rand_reg = false;
499 if (children[1]->type == AST_FCALL) {
500 if (children[1]->str == "\\$anyconst")
501 is_rand_reg = true;
502 if (children[1]->str == "\\$anyseq")
503 is_rand_reg = true;
504 if (children[1]->str == "\\$allconst")
505 is_rand_reg = true;
506 if (children[1]->str == "\\$allseq")
507 is_rand_reg = true;
508 }
509 if (!is_rand_reg)
510 log_warning("reg '%s' is assigned in a continuous assignment at %s:%d.%d-%d.%d.\n", children[0]->str.c_str(), filename.c_str(), location.first_line, location.first_column, location.last_line, location.last_column);
511 }
512 children[0]->was_checked = true;
513 }
514 break;
515
516 case AST_ENUM:
517 //log("\nENUM %s: %d child %d\n", str.c_str(), basic_prep, children[0]->basic_prep);
518 if (!basic_prep) {
519 for (auto item_node : children) {
520 while (!item_node->basic_prep && item_node->simplify(false, false, false, stage, -1, false, in_param))
521 did_something = true;
522 }
523 // allocate values (called more than once)
524 allocateDefaultEnumValues();
525 }
526 break;
527
528 case AST_PARAMETER:
529 case AST_LOCALPARAM:
530 while (!children[0]->basic_prep && children[0]->simplify(false, false, false, stage, -1, false, true) == true)
531 did_something = true;
532 children[0]->detectSignWidth(width_hint, sign_hint);
533 if (children.size() > 1 && children[1]->type == AST_RANGE) {
534 while (!children[1]->basic_prep && children[1]->simplify(false, false, false, stage, -1, false, true) == true)
535 did_something = true;
536 if (!children[1]->range_valid)
537 log_file_error(filename, location.first_line, "Non-constant width range on parameter decl.\n");
538 width_hint = max(width_hint, children[1]->range_left - children[1]->range_right + 1);
539 }
540 break;
541 case AST_ENUM_ITEM:
542 while (!children[0]->basic_prep && children[0]->simplify(false, false, false, stage, -1, false, in_param))
543 did_something = true;
544 children[0]->detectSignWidth(width_hint, sign_hint);
545 if (children.size() > 1 && children[1]->type == AST_RANGE) {
546 while (!children[1]->basic_prep && children[1]->simplify(false, false, false, stage, -1, false, in_param))
547 did_something = true;
548 if (!children[1]->range_valid)
549 log_file_error(filename, location.first_line, "Non-constant width range on enum item decl.\n");
550 width_hint = max(width_hint, children[1]->range_left - children[1]->range_right + 1);
551 }
552 break;
553
554 case AST_TO_BITS:
555 case AST_TO_SIGNED:
556 case AST_TO_UNSIGNED:
557 case AST_CONCAT:
558 case AST_REPLICATE:
559 case AST_REDUCE_AND:
560 case AST_REDUCE_OR:
561 case AST_REDUCE_XOR:
562 case AST_REDUCE_XNOR:
563 case AST_REDUCE_BOOL:
564 detect_width_simple = true;
565 children_are_self_determined = true;
566 break;
567
568 case AST_NEG:
569 case AST_BIT_NOT:
570 case AST_POS:
571 case AST_BIT_AND:
572 case AST_BIT_OR:
573 case AST_BIT_XOR:
574 case AST_BIT_XNOR:
575 case AST_ADD:
576 case AST_SUB:
577 case AST_MUL:
578 case AST_DIV:
579 case AST_MOD:
580 detect_width_simple = true;
581 break;
582
583 case AST_SHIFT_LEFT:
584 case AST_SHIFT_RIGHT:
585 case AST_SHIFT_SLEFT:
586 case AST_SHIFT_SRIGHT:
587 case AST_POW:
588 detect_width_simple = true;
589 child_1_is_self_determined = true;
590 break;
591
592 case AST_LT:
593 case AST_LE:
594 case AST_EQ:
595 case AST_NE:
596 case AST_EQX:
597 case AST_NEX:
598 case AST_GE:
599 case AST_GT:
600 width_hint = -1;
601 sign_hint = true;
602 for (auto child : children) {
603 while (!child->basic_prep && child->simplify(false, false, in_lvalue, stage, -1, false, in_param) == true)
604 did_something = true;
605 child->detectSignWidthWorker(width_hint, sign_hint);
606 }
607 reset_width_after_children = true;
608 break;
609
610 case AST_LOGIC_AND:
611 case AST_LOGIC_OR:
612 case AST_LOGIC_NOT:
613 detect_width_simple = true;
614 children_are_self_determined = true;
615 break;
616
617 case AST_TERNARY:
618 detect_width_simple = true;
619 child_0_is_self_determined = true;
620 break;
621
622 case AST_MEMRD:
623 detect_width_simple = true;
624 children_are_self_determined = true;
625 break;
626
627 case AST_FCALL:
628 case AST_TCALL:
629 children_are_self_determined = true;
630 break;
631
632 default:
633 width_hint = -1;
634 sign_hint = false;
635 }
636
637 if (detect_width_simple && width_hint < 0) {
638 if (type == AST_REPLICATE)
639 while (children[0]->simplify(true, false, in_lvalue, stage, -1, false, true) == true)
640 did_something = true;
641 for (auto child : children)
642 while (!child->basic_prep && child->simplify(false, false, in_lvalue, stage, -1, false, in_param) == true)
643 did_something = true;
644 detectSignWidth(width_hint, sign_hint);
645 }
646
647 if (type == AST_FCALL && str == "\\$past")
648 detectSignWidth(width_hint, sign_hint);
649
650 if (type == AST_TERNARY) {
651 int width_hint_left, width_hint_right;
652 bool sign_hint_left, sign_hint_right;
653 bool found_real_left, found_real_right;
654 children[1]->detectSignWidth(width_hint_left, sign_hint_left, &found_real_left);
655 children[2]->detectSignWidth(width_hint_right, sign_hint_right, &found_real_right);
656 if (found_real_left || found_real_right) {
657 child_1_is_self_determined = true;
658 child_2_is_self_determined = true;
659 }
660 }
661
662 if (type == AST_CONDX && children.size() > 0 && children.at(0)->type == AST_CONSTANT) {
663 for (auto &bit : children.at(0)->bits)
664 if (bit == State::Sz || bit == State::Sx)
665 bit = State::Sa;
666 }
667
668 if (type == AST_CONDZ && children.size() > 0 && children.at(0)->type == AST_CONSTANT) {
669 for (auto &bit : children.at(0)->bits)
670 if (bit == State::Sz)
671 bit = State::Sa;
672 }
673
674 if (const_fold && type == AST_CASE)
675 {
676 while (children[0]->simplify(const_fold, at_zero, in_lvalue, stage, width_hint, sign_hint, in_param)) { }
677 if (children[0]->type == AST_CONSTANT && children[0]->bits_only_01()) {
678 std::vector<AstNode*> new_children;
679 new_children.push_back(children[0]);
680 for (int i = 1; i < GetSize(children); i++) {
681 AstNode *child = children[i];
682 log_assert(child->type == AST_COND || child->type == AST_CONDX || child->type == AST_CONDZ);
683 for (auto v : child->children) {
684 if (v->type == AST_DEFAULT)
685 goto keep_const_cond;
686 if (v->type == AST_BLOCK)
687 continue;
688 while (v->simplify(const_fold, at_zero, in_lvalue, stage, width_hint, sign_hint, in_param)) { }
689 if (v->type == AST_CONSTANT && v->bits_only_01()) {
690 if (v->bits == children[0]->bits) {
691 while (i+1 < GetSize(children))
692 delete children[++i];
693 goto keep_const_cond;
694 }
695 continue;
696 }
697 goto keep_const_cond;
698 }
699 if (0)
700 keep_const_cond:
701 new_children.push_back(child);
702 else
703 delete child;
704 }
705 new_children.swap(children);
706 }
707 }
708
709 // simplify all children first
710 // (iterate by index as e.g. auto wires can add new children in the process)
711 for (size_t i = 0; i < children.size(); i++) {
712 bool did_something_here = true;
713 bool backup_flag_autowire = flag_autowire;
714 if ((type == AST_GENFOR || type == AST_FOR) && i >= 3)
715 break;
716 if ((type == AST_GENIF || type == AST_GENCASE) && i >= 1)
717 break;
718 if (type == AST_GENBLOCK)
719 break;
720 if (type == AST_BLOCK && !str.empty())
721 break;
722 if (type == AST_PREFIX && i >= 1)
723 break;
724 if (type == AST_DEFPARAM && i == 0)
725 flag_autowire = true;
726 while (did_something_here && i < children.size()) {
727 bool const_fold_here = const_fold, in_lvalue_here = in_lvalue;
728 int width_hint_here = width_hint;
729 bool sign_hint_here = sign_hint;
730 bool in_param_here = in_param;
731 if (i == 0 && (type == AST_REPLICATE || type == AST_WIRE))
732 const_fold_here = true, in_param_here = true;
733 if (type == AST_PARAMETER || type == AST_LOCALPARAM)
734 const_fold_here = true;
735 if (i == 0 && (type == AST_ASSIGN || type == AST_ASSIGN_EQ || type == AST_ASSIGN_LE))
736 in_lvalue_here = true;
737 if (type == AST_BLOCK) {
738 current_block = this;
739 current_block_child = children[i];
740 }
741 if ((type == AST_ALWAYS || type == AST_INITIAL) && children[i]->type == AST_BLOCK)
742 current_top_block = children[i];
743 if (i == 0 && child_0_is_self_determined)
744 width_hint_here = -1, sign_hint_here = false;
745 if (i == 1 && child_1_is_self_determined)
746 width_hint_here = -1, sign_hint_here = false;
747 if (i == 2 && child_2_is_self_determined)
748 width_hint_here = -1, sign_hint_here = false;
749 if (children_are_self_determined)
750 width_hint_here = -1, sign_hint_here = false;
751 did_something_here = children[i]->simplify(const_fold_here, at_zero, in_lvalue_here, stage, width_hint_here, sign_hint_here, in_param_here);
752 if (did_something_here)
753 did_something = true;
754 }
755 if (stage == 2 && children[i]->type == AST_INITIAL && current_ast_mod != this) {
756 current_ast_mod->children.push_back(children[i]);
757 children.erase(children.begin() + (i--));
758 did_something = true;
759 }
760 flag_autowire = backup_flag_autowire;
761 }
762 for (auto &attr : attributes) {
763 while (attr.second->simplify(true, false, false, stage, -1, false, true))
764 did_something = true;
765 }
766
767 if (reset_width_after_children) {
768 width_hint = backup_width_hint;
769 sign_hint = backup_sign_hint;
770 if (width_hint < 0)
771 detectSignWidth(width_hint, sign_hint);
772 }
773
774 current_block = backup_current_block;
775 current_block_child = backup_current_block_child;
776 current_top_block = backup_current_top_block;
777 current_always = backup_current_always;
778 current_always_clocked = backup_current_always_clocked;
779
780 for (auto it = backup_scope.begin(); it != backup_scope.end(); it++) {
781 if (it->second == NULL)
782 current_scope.erase(it->first);
783 else
784 current_scope[it->first] = it->second;
785 }
786
787 current_filename = filename;
788
789 if (type == AST_MODULE)
790 current_scope.clear();
791
792 // convert defparam nodes to cell parameters
793 if (type == AST_DEFPARAM && !children.empty())
794 {
795 if (children[0]->type != AST_IDENTIFIER)
796 log_file_error(filename, location.first_line, "Module name in defparam contains non-constant expressions!\n");
797
798 string modname, paramname = children[0]->str;
799
800 size_t pos = paramname.rfind('.');
801
802 while (pos != 0 && pos != std::string::npos)
803 {
804 modname = paramname.substr(0, pos);
805
806 if (current_scope.count(modname))
807 break;
808
809 pos = paramname.rfind('.', pos - 1);
810 }
811
812 if (pos == std::string::npos)
813 log_file_error(filename, location.first_line, "Can't find object for defparam `%s`!\n", RTLIL::unescape_id(paramname).c_str());
814
815 paramname = "\\" + paramname.substr(pos+1);
816
817 if (current_scope.at(modname)->type != AST_CELL)
818 log_file_error(filename, location.first_line, "Defparam argument `%s . %s` does not match a cell!\n",
819 RTLIL::unescape_id(modname).c_str(), RTLIL::unescape_id(paramname).c_str());
820
821 AstNode *paraset = new AstNode(AST_PARASET, children[1]->clone(), GetSize(children) > 2 ? children[2]->clone() : NULL);
822 paraset->str = paramname;
823
824 AstNode *cell = current_scope.at(modname);
825 cell->children.insert(cell->children.begin() + 1, paraset);
826 delete_children();
827 }
828
829 // resolve typedefs
830 if (type == AST_TYPEDEF) {
831 log_assert(children.size() == 1);
832 log_assert(children[0]->type == AST_WIRE || children[0]->type == AST_MEMORY);
833 while(children[0]->simplify(const_fold, at_zero, in_lvalue, stage, width_hint, sign_hint, in_param))
834 did_something = true;
835 log_assert(!children[0]->is_custom_type);
836 }
837
838 // resolve types of wires
839 if (type == AST_WIRE || type == AST_MEMORY) {
840 if (is_custom_type) {
841 log_assert(children.size() >= 1);
842 log_assert(children[0]->type == AST_WIRETYPE);
843 if (!current_scope.count(children[0]->str))
844 log_file_error(filename, location.first_line, "Unknown identifier `%s' used as type name\n", children[0]->str.c_str());
845 AstNode *resolved_type = current_scope.at(children[0]->str);
846 if (resolved_type->type != AST_TYPEDEF)
847 log_file_error(filename, location.first_line, "`%s' does not name a type\n", children[0]->str.c_str());
848 log_assert(resolved_type->children.size() == 1);
849 AstNode *templ = resolved_type->children[0];
850 // Remove type reference
851 delete children[0];
852 children.erase(children.begin());
853
854 // Ensure typedef itself is fully simplified
855 while(templ->simplify(const_fold, at_zero, in_lvalue, stage, width_hint, sign_hint, in_param)) {};
856
857 if (type == AST_WIRE)
858 type = templ->type;
859 is_reg = templ->is_reg;
860 is_logic = templ->is_logic;
861 is_signed = templ->is_signed;
862 is_string = templ->is_string;
863 is_custom_type = templ->is_custom_type;
864
865 range_valid = templ->range_valid;
866 range_swapped = templ->range_swapped;
867 range_left = templ->range_left;
868 range_right = templ->range_right;
869 attributes["\\wiretype"] = mkconst_str(resolved_type->str);
870 //check if enum
871 if (templ->attributes.count("\\enum_type")){
872 //get reference to enum node:
873 std::string enum_type = templ->attributes["\\enum_type"]->str.c_str();
874 // log("enum_type=%s (count=%lu)\n", enum_type.c_str(), current_scope.count(enum_type));
875 // log("current scope:\n");
876 // for (auto &it : current_scope)
877 // log(" %s\n", it.first.c_str());
878 log_assert(current_scope.count(enum_type) == 1);
879 AstNode *enum_node = current_scope.at(enum_type);
880 log_assert(enum_node->type == AST_ENUM);
881 //get width from 1st enum item:
882 log_assert(enum_node->children.size() >= 1);
883 AstNode *enum_item0 = enum_node->children[0];
884 log_assert(enum_item0->type == AST_ENUM_ITEM);
885 int width;
886 if (!enum_item0->range_valid)
887 width = 1;
888 else if (enum_item0->range_swapped)
889 width = enum_item0->range_right - enum_item0->range_left + 1;
890 else
891 width = enum_item0->range_left - enum_item0->range_right + 1;
892 log_assert(width > 0);
893 //add declared enum items:
894 for (auto enum_item : enum_node->children){
895 log_assert(enum_item->type == AST_ENUM_ITEM);
896 //get is_signed
897 bool is_signed;
898 if (enum_item->children.size() == 1){
899 is_signed = false;
900 } else if (enum_item->children.size() == 2){
901 log_assert(enum_item->children[1]->type == AST_RANGE);
902 is_signed = enum_item->children[1]->is_signed;
903 } else {
904 log_error("enum_item children size==%lu, expected 1 or 2 for %s (%s)\n",
905 enum_item->children.size(),
906 enum_item->str.c_str(), enum_node->str.c_str()
907 );
908 }
909 //start building attribute string
910 std::string enum_item_str = "\\enum_";
911 enum_item_str.append(std::to_string(width));
912 enum_item_str.append("_");
913 //get enum item value
914 if(enum_item->children[0]->type != AST_CONSTANT){
915 log_error("expected const, got %s for %s (%s)\n",
916 type2str(enum_item->children[0]->type).c_str(),
917 enum_item->str.c_str(), enum_node->str.c_str()
918 );
919 }
920 int val = enum_item->children[0]->asInt(is_signed);
921 enum_item_str.append(std::to_string(val));
922 //set attribute for available val to enum item name mappings
923 attributes[enum_item_str.c_str()] = mkconst_str(enum_item->str);
924 }
925 }
926
927 // Insert clones children from template at beginning
928 for (int i = 0; i < GetSize(templ->children); i++)
929 children.insert(children.begin() + i, templ->children[i]->clone());
930
931 if (type == AST_MEMORY && GetSize(children) == 1) {
932 // Single-bit memories must have [0:0] range
933 AstNode *rng = new AstNode(AST_RANGE);
934 rng->children.push_back(AstNode::mkconst_int(0, true));
935 rng->children.push_back(AstNode::mkconst_int(0, true));
936 children.insert(children.begin(), rng);
937 }
938
939 did_something = true;
940 }
941 log_assert(!is_custom_type);
942 }
943
944 // resolve types of parameters
945 if (type == AST_LOCALPARAM || type == AST_PARAMETER) {
946 if (is_custom_type) {
947 log_assert(children.size() == 2);
948 log_assert(children[1]->type == AST_WIRETYPE);
949 if (!current_scope.count(children[1]->str))
950 log_file_error(filename, location.first_line, "Unknown identifier `%s' used as type name\n", children[1]->str.c_str());
951 AstNode *resolved_type = current_scope.at(children[1]->str);
952 if (resolved_type->type != AST_TYPEDEF)
953 log_file_error(filename, location.first_line, "`%s' does not name a type\n", children[1]->str.c_str());
954 log_assert(resolved_type->children.size() == 1);
955 AstNode *templ = resolved_type->children[0];
956 delete children[1];
957 children.pop_back();
958
959 // Ensure typedef itself is fully simplified
960 while(templ->simplify(const_fold, at_zero, in_lvalue, stage, width_hint, sign_hint, in_param)) {};
961
962 if (templ->type == AST_MEMORY)
963 log_file_error(filename, location.first_line, "unpacked array type `%s' cannot be used for a parameter\n", children[1]->str.c_str());
964 is_signed = templ->is_signed;
965 is_string = templ->is_string;
966 is_custom_type = templ->is_custom_type;
967
968 range_valid = templ->range_valid;
969 range_swapped = templ->range_swapped;
970 range_left = templ->range_left;
971 range_right = templ->range_right;
972 attributes["\\wiretype"] = mkconst_str(resolved_type->str);
973 for (auto template_child : templ->children)
974 children.push_back(template_child->clone());
975 did_something = true;
976 }
977 log_assert(!is_custom_type);
978 }
979
980 // resolve constant prefixes
981 if (type == AST_PREFIX) {
982 if (children[0]->type != AST_CONSTANT) {
983 // dumpAst(NULL, "> ");
984 log_file_error(filename, location.first_line, "Index in generate block prefix syntax is not constant!\n");
985 }
986 if (children[1]->type == AST_PREFIX)
987 children[1]->simplify(const_fold, at_zero, in_lvalue, stage, width_hint, sign_hint, in_param);
988 log_assert(children[1]->type == AST_IDENTIFIER);
989 newNode = children[1]->clone();
990 const char *second_part = children[1]->str.c_str();
991 if (second_part[0] == '\\')
992 second_part++;
993 newNode->str = stringf("%s[%d].%s", str.c_str(), children[0]->integer, second_part);
994 goto apply_newNode;
995 }
996
997 // evaluate TO_BITS nodes
998 if (type == AST_TO_BITS) {
999 if (children[0]->type != AST_CONSTANT)
1000 log_file_error(filename, location.first_line, "Left operand of to_bits expression is not constant!\n");
1001 if (children[1]->type != AST_CONSTANT)
1002 log_file_error(filename, location.first_line, "Right operand of to_bits expression is not constant!\n");
1003 RTLIL::Const new_value = children[1]->bitsAsConst(children[0]->bitsAsConst().as_int(), children[1]->is_signed);
1004 newNode = mkconst_bits(new_value.bits, children[1]->is_signed);
1005 goto apply_newNode;
1006 }
1007
1008 // annotate constant ranges
1009 if (type == AST_RANGE) {
1010 bool old_range_valid = range_valid;
1011 range_valid = false;
1012 range_swapped = false;
1013 range_left = -1;
1014 range_right = 0;
1015 log_assert(children.size() >= 1);
1016 if (children[0]->type == AST_CONSTANT) {
1017 range_valid = true;
1018 range_left = children[0]->integer;
1019 if (children.size() == 1)
1020 range_right = range_left;
1021 }
1022 if (children.size() >= 2) {
1023 if (children[1]->type == AST_CONSTANT)
1024 range_right = children[1]->integer;
1025 else
1026 range_valid = false;
1027 }
1028 if (old_range_valid != range_valid)
1029 did_something = true;
1030 if (range_valid && range_left >= 0 && range_right > range_left) {
1031 int tmp = range_right;
1032 range_right = range_left;
1033 range_left = tmp;
1034 range_swapped = true;
1035 }
1036 }
1037
1038 // annotate wires with their ranges
1039 if (type == AST_WIRE) {
1040 if (children.size() > 0) {
1041 if (children[0]->range_valid) {
1042 if (!range_valid)
1043 did_something = true;
1044 range_valid = true;
1045 range_swapped = children[0]->range_swapped;
1046 range_left = children[0]->range_left;
1047 range_right = children[0]->range_right;
1048 }
1049 } else {
1050 if (!range_valid)
1051 did_something = true;
1052 range_valid = true;
1053 range_swapped = false;
1054 range_left = 0;
1055 range_right = 0;
1056 }
1057 }
1058
1059 // resolve multiranges on memory decl
1060 if (type == AST_MEMORY && children.size() > 1 && children[1]->type == AST_MULTIRANGE)
1061 {
1062 int total_size = 1;
1063 multirange_dimensions.clear();
1064 for (auto range : children[1]->children) {
1065 if (!range->range_valid)
1066 log_file_error(filename, location.first_line, "Non-constant range on memory decl.\n");
1067 multirange_dimensions.push_back(min(range->range_left, range->range_right));
1068 multirange_dimensions.push_back(max(range->range_left, range->range_right) - min(range->range_left, range->range_right) + 1);
1069 total_size *= multirange_dimensions.back();
1070 }
1071 delete children[1];
1072 children[1] = new AstNode(AST_RANGE, AstNode::mkconst_int(0, true), AstNode::mkconst_int(total_size-1, true));
1073 did_something = true;
1074 }
1075
1076 // resolve multiranges on memory access
1077 if (type == AST_IDENTIFIER && id2ast && id2ast->type == AST_MEMORY && children.size() > 0 && children[0]->type == AST_MULTIRANGE)
1078 {
1079 AstNode *index_expr = nullptr;
1080
1081 for (int i = 0; 2*i < GetSize(id2ast->multirange_dimensions); i++)
1082 {
1083 if (GetSize(children[0]->children) < i)
1084 log_file_error(filename, location.first_line, "Insufficient number of array indices for %s.\n", log_id(str));
1085
1086 AstNode *new_index_expr = children[0]->children[i]->children.at(0)->clone();
1087
1088 if (id2ast->multirange_dimensions[2*i])
1089 new_index_expr = new AstNode(AST_SUB, new_index_expr, AstNode::mkconst_int(id2ast->multirange_dimensions[2*i], true));
1090
1091 if (i == 0)
1092 index_expr = new_index_expr;
1093 else
1094 index_expr = new AstNode(AST_ADD, new AstNode(AST_MUL, index_expr, AstNode::mkconst_int(id2ast->multirange_dimensions[2*i+1], true)), new_index_expr);
1095 }
1096
1097 for (int i = GetSize(id2ast->multirange_dimensions)/2; i < GetSize(children[0]->children); i++)
1098 children.push_back(children[0]->children[i]->clone());
1099
1100 delete children[0];
1101 if (index_expr == nullptr)
1102 children.erase(children.begin());
1103 else
1104 children[0] = new AstNode(AST_RANGE, index_expr);
1105
1106 did_something = true;
1107 }
1108
1109 // trim/extend parameters
1110 if (type == AST_PARAMETER || type == AST_LOCALPARAM || type == AST_ENUM_ITEM) {
1111 if (children.size() > 1 && children[1]->type == AST_RANGE) {
1112 if (!children[1]->range_valid)
1113 log_file_error(filename, location.first_line, "Non-constant width range on parameter decl.\n");
1114 int width = std::abs(children[1]->range_left - children[1]->range_right) + 1;
1115 if (children[0]->type == AST_REALVALUE) {
1116 RTLIL::Const constvalue = children[0]->realAsConst(width);
1117 log_file_warning(filename, location.first_line, "converting real value %e to binary %s.\n",
1118 children[0]->realvalue, log_signal(constvalue));
1119 delete children[0];
1120 children[0] = mkconst_bits(constvalue.bits, sign_hint);
1121 did_something = true;
1122 }
1123 if (children[0]->type == AST_CONSTANT) {
1124 if (width != int(children[0]->bits.size())) {
1125 RTLIL::SigSpec sig(children[0]->bits);
1126 sig.extend_u0(width, children[0]->is_signed);
1127 AstNode *old_child_0 = children[0];
1128 children[0] = mkconst_bits(sig.as_const().bits, is_signed);
1129 delete old_child_0;
1130 }
1131 children[0]->is_signed = is_signed;
1132 }
1133 range_valid = true;
1134 range_swapped = children[1]->range_swapped;
1135 range_left = children[1]->range_left;
1136 range_right = children[1]->range_right;
1137 } else
1138 if (children.size() > 1 && children[1]->type == AST_REALVALUE && children[0]->type == AST_CONSTANT) {
1139 double as_realvalue = children[0]->asReal(sign_hint);
1140 delete children[0];
1141 children[0] = new AstNode(AST_REALVALUE);
1142 children[0]->realvalue = as_realvalue;
1143 did_something = true;
1144 }
1145 }
1146
1147 // annotate identifiers using scope resolution and create auto-wires as needed
1148 if (type == AST_IDENTIFIER) {
1149 if (current_scope.count(str) == 0) {
1150 for (auto node : current_ast_mod->children) {
1151 //log("looking at mod scope child %s\n", type2str(node->type).c_str());
1152 switch (node->type) {
1153 case AST_PARAMETER:
1154 case AST_LOCALPARAM:
1155 case AST_WIRE:
1156 case AST_AUTOWIRE:
1157 case AST_GENVAR:
1158 case AST_MEMORY:
1159 case AST_FUNCTION:
1160 case AST_TASK:
1161 case AST_DPI_FUNCTION:
1162 //log("found child %s, %s\n", type2str(node->type).c_str(), node->str.c_str());
1163 if (str == node->str) {
1164 //log("add %s, type %s to scope\n", str.c_str(), type2str(node->type).c_str());
1165 current_scope[node->str] = node;
1166 }
1167 break;
1168 case AST_ENUM:
1169 current_scope[node->str] = node;
1170 for (auto enum_node : node->children) {
1171 log_assert(enum_node->type==AST_ENUM_ITEM);
1172 if (str == enum_node->str) {
1173 //log("\nadding enum item %s to scope\n", str.c_str());
1174 current_scope[str] = enum_node;
1175 }
1176 }
1177 break;
1178 default:
1179 break;
1180 }
1181 }
1182 }
1183 if (current_scope.count(str) == 0) {
1184 if (flag_autowire || str == "\\$global_clock") {
1185 AstNode *auto_wire = new AstNode(AST_AUTOWIRE);
1186 auto_wire->str = str;
1187 current_ast_mod->children.push_back(auto_wire);
1188 current_scope[str] = auto_wire;
1189 did_something = true;
1190 } else {
1191 log_file_error(filename, location.first_line, "Identifier `%s' is implicitly declared and `default_nettype is set to none.\n", str.c_str());
1192 }
1193 }
1194 if (id2ast != current_scope[str]) {
1195 id2ast = current_scope[str];
1196 did_something = true;
1197 }
1198 }
1199
1200 // split memory access with bit select to individual statements
1201 if (type == AST_IDENTIFIER && children.size() == 2 && children[0]->type == AST_RANGE && children[1]->type == AST_RANGE && !in_lvalue)
1202 {
1203 if (id2ast == NULL || id2ast->type != AST_MEMORY || children[0]->children.size() != 1)
1204 log_file_error(filename, location.first_line, "Invalid bit-select on memory access!\n");
1205
1206 int mem_width, mem_size, addr_bits;
1207 id2ast->meminfo(mem_width, mem_size, addr_bits);
1208
1209 int data_range_left = id2ast->children[0]->range_left;
1210 int data_range_right = id2ast->children[0]->range_right;
1211
1212 if (id2ast->children[0]->range_swapped)
1213 std::swap(data_range_left, data_range_right);
1214
1215 std::stringstream sstr;
1216 sstr << "$mem2bits$" << str << "$" << filename << ":" << location.first_line << "$" << (autoidx++);
1217 std::string wire_id = sstr.str();
1218
1219 AstNode *wire = new AstNode(AST_WIRE, new AstNode(AST_RANGE, mkconst_int(data_range_left, true), mkconst_int(data_range_right, true)));
1220 wire->str = wire_id;
1221 if (current_block)
1222 wire->attributes["\\nosync"] = AstNode::mkconst_int(1, false);
1223 current_ast_mod->children.push_back(wire);
1224 while (wire->simplify(true, false, false, 1, -1, false, false)) { }
1225
1226 AstNode *data = clone();
1227 delete data->children[1];
1228 data->children.pop_back();
1229
1230 AstNode *assign = new AstNode(AST_ASSIGN_EQ, new AstNode(AST_IDENTIFIER), data);
1231 assign->children[0]->str = wire_id;
1232 assign->children[0]->was_checked = true;
1233
1234 if (current_block)
1235 {
1236 size_t assign_idx = 0;
1237 while (assign_idx < current_block->children.size() && current_block->children[assign_idx] != current_block_child)
1238 assign_idx++;
1239 log_assert(assign_idx < current_block->children.size());
1240 current_block->children.insert(current_block->children.begin()+assign_idx, assign);
1241 wire->is_reg = true;
1242 }
1243 else
1244 {
1245 AstNode *proc = new AstNode(AST_ALWAYS, new AstNode(AST_BLOCK));
1246 proc->children[0]->children.push_back(assign);
1247 current_ast_mod->children.push_back(proc);
1248 }
1249
1250 newNode = new AstNode(AST_IDENTIFIER, children[1]->clone());
1251 newNode->str = wire_id;
1252 newNode->id2ast = wire;
1253 goto apply_newNode;
1254 }
1255
1256 if (type == AST_WHILE)
1257 log_file_error(filename, location.first_line, "While loops are only allowed in constant functions!\n");
1258
1259 if (type == AST_REPEAT)
1260 {
1261 AstNode *count = children[0];
1262 AstNode *body = children[1];
1263
1264 // eval count expression
1265 while (count->simplify(true, false, false, stage, 32, true, false)) { }
1266
1267 if (count->type != AST_CONSTANT)
1268 log_file_error(filename, location.first_line, "Repeat loops outside must have constant repeat counts!\n");
1269
1270 // convert to a block with the body repeated n times
1271 type = AST_BLOCK;
1272 children.clear();
1273 for (int i = 0; i < count->bitsAsConst().as_int(); i++)
1274 children.insert(children.begin(), body->clone());
1275
1276 delete count;
1277 delete body;
1278 did_something = true;
1279 }
1280
1281 // unroll for loops and generate-for blocks
1282 if ((type == AST_GENFOR || type == AST_FOR) && children.size() != 0)
1283 {
1284 AstNode *init_ast = children[0];
1285 AstNode *while_ast = children[1];
1286 AstNode *next_ast = children[2];
1287 AstNode *body_ast = children[3];
1288
1289 while (body_ast->type == AST_GENBLOCK && body_ast->str.empty() &&
1290 body_ast->children.size() == 1 && body_ast->children.at(0)->type == AST_GENBLOCK)
1291 body_ast = body_ast->children.at(0);
1292
1293 if (init_ast->type != AST_ASSIGN_EQ)
1294 log_file_error(filename, location.first_line, "Unsupported 1st expression of generate for-loop!\n");
1295 if (next_ast->type != AST_ASSIGN_EQ)
1296 log_file_error(filename, location.first_line, "Unsupported 3rd expression of generate for-loop!\n");
1297
1298 if (type == AST_GENFOR) {
1299 if (init_ast->children[0]->id2ast == NULL || init_ast->children[0]->id2ast->type != AST_GENVAR)
1300 log_file_error(filename, location.first_line, "Left hand side of 1st expression of generate for-loop is not a gen var!\n");
1301 if (next_ast->children[0]->id2ast == NULL || next_ast->children[0]->id2ast->type != AST_GENVAR)
1302 log_file_error(filename, location.first_line, "Left hand side of 3rd expression of generate for-loop is not a gen var!\n");
1303 } else {
1304 if (init_ast->children[0]->id2ast == NULL || init_ast->children[0]->id2ast->type != AST_WIRE)
1305 log_file_error(filename, location.first_line, "Left hand side of 1st expression of generate for-loop is not a register!\n");
1306 if (next_ast->children[0]->id2ast == NULL || next_ast->children[0]->id2ast->type != AST_WIRE)
1307 log_file_error(filename, location.first_line, "Left hand side of 3rd expression of generate for-loop is not a register!\n");
1308 }
1309
1310 if (init_ast->children[0]->id2ast != next_ast->children[0]->id2ast)
1311 log_file_error(filename, location.first_line, "Incompatible left-hand sides in 1st and 3rd expression of generate for-loop!\n");
1312
1313 // eval 1st expression
1314 AstNode *varbuf = init_ast->children[1]->clone();
1315 {
1316 int expr_width_hint = -1;
1317 bool expr_sign_hint = true;
1318 varbuf->detectSignWidth(expr_width_hint, expr_sign_hint);
1319 while (varbuf->simplify(true, false, false, stage, 32, true, false)) { }
1320 }
1321
1322 if (varbuf->type != AST_CONSTANT)
1323 log_file_error(filename, location.first_line, "Right hand side of 1st expression of generate for-loop is not constant!\n");
1324
1325 auto resolved = current_scope.at(init_ast->children[0]->str);
1326 if (resolved->range_valid) {
1327 int const_size = varbuf->range_left - varbuf->range_right;
1328 int resolved_size = resolved->range_left - resolved->range_right;
1329 if (const_size < resolved_size) {
1330 for (int i = const_size; i < resolved_size; i++)
1331 varbuf->bits.push_back(resolved->is_signed ? varbuf->bits.back() : State::S0);
1332 varbuf->range_left = resolved->range_left;
1333 varbuf->range_right = resolved->range_right;
1334 varbuf->range_swapped = resolved->range_swapped;
1335 varbuf->range_valid = resolved->range_valid;
1336 }
1337 }
1338
1339 varbuf = new AstNode(AST_LOCALPARAM, varbuf);
1340 varbuf->str = init_ast->children[0]->str;
1341
1342 AstNode *backup_scope_varbuf = current_scope[varbuf->str];
1343 current_scope[varbuf->str] = varbuf;
1344
1345 size_t current_block_idx = 0;
1346 if (type == AST_FOR) {
1347 while (current_block_idx < current_block->children.size() &&
1348 current_block->children[current_block_idx] != current_block_child)
1349 current_block_idx++;
1350 }
1351
1352 while (1)
1353 {
1354 // eval 2nd expression
1355 AstNode *buf = while_ast->clone();
1356 {
1357 int expr_width_hint = -1;
1358 bool expr_sign_hint = true;
1359 buf->detectSignWidth(expr_width_hint, expr_sign_hint);
1360 while (buf->simplify(true, false, false, stage, expr_width_hint, expr_sign_hint, false)) { }
1361 }
1362
1363 if (buf->type != AST_CONSTANT)
1364 log_file_error(filename, location.first_line, "2nd expression of generate for-loop is not constant!\n");
1365
1366 if (buf->integer == 0) {
1367 delete buf;
1368 break;
1369 }
1370 delete buf;
1371
1372 // expand body
1373 int index = varbuf->children[0]->integer;
1374 if (body_ast->type == AST_GENBLOCK)
1375 buf = body_ast->clone();
1376 else
1377 buf = new AstNode(AST_GENBLOCK, body_ast->clone());
1378 if (buf->str.empty()) {
1379 std::stringstream sstr;
1380 sstr << "$genblock$" << filename << ":" << location.first_line << "$" << (autoidx++);
1381 buf->str = sstr.str();
1382 }
1383 std::map<std::string, std::string> name_map;
1384 std::stringstream sstr;
1385 sstr << buf->str << "[" << index << "].";
1386 buf->expand_genblock(varbuf->str, sstr.str(), name_map);
1387
1388 if (type == AST_GENFOR) {
1389 for (size_t i = 0; i < buf->children.size(); i++) {
1390 buf->children[i]->simplify(false, false, false, stage, -1, false, false);
1391 current_ast_mod->children.push_back(buf->children[i]);
1392 }
1393 } else {
1394 for (size_t i = 0; i < buf->children.size(); i++)
1395 current_block->children.insert(current_block->children.begin() + current_block_idx++, buf->children[i]);
1396 }
1397 buf->children.clear();
1398 delete buf;
1399
1400 // eval 3rd expression
1401 buf = next_ast->children[1]->clone();
1402 {
1403 int expr_width_hint = -1;
1404 bool expr_sign_hint = true;
1405 buf->detectSignWidth(expr_width_hint, expr_sign_hint);
1406 while (buf->simplify(true, false, false, stage, expr_width_hint, expr_sign_hint, true)) { }
1407 }
1408
1409 if (buf->type != AST_CONSTANT)
1410 log_file_error(filename, location.first_line, "Right hand side of 3rd expression of generate for-loop is not constant (%s)!\n", type2str(buf->type).c_str());
1411
1412 delete varbuf->children[0];
1413 varbuf->children[0] = buf;
1414 }
1415
1416 if (type == AST_FOR) {
1417 AstNode *buf = next_ast->clone();
1418 delete buf->children[1];
1419 buf->children[1] = varbuf->children[0]->clone();
1420 current_block->children.insert(current_block->children.begin() + current_block_idx++, buf);
1421 }
1422
1423 current_scope[varbuf->str] = backup_scope_varbuf;
1424 delete varbuf;
1425 delete_children();
1426 did_something = true;
1427 }
1428
1429 // check for local objects in unnamed block
1430 if (type == AST_BLOCK && str.empty())
1431 {
1432 for (size_t i = 0; i < children.size(); i++)
1433 if (children[i]->type == AST_WIRE || children[i]->type == AST_MEMORY || children[i]->type == AST_PARAMETER || children[i]->type == AST_LOCALPARAM || children[i]->type == AST_TYPEDEF)
1434 log_file_error(children[i]->filename, children[i]->location.first_line, "Local declaration in unnamed block is an unsupported SystemVerilog feature!\n");
1435 }
1436
1437 // transform block with name
1438 if (type == AST_BLOCK && !str.empty())
1439 {
1440 std::map<std::string, std::string> name_map;
1441 expand_genblock(std::string(), str + ".", name_map);
1442
1443 std::vector<AstNode*> new_children;
1444 for (size_t i = 0; i < children.size(); i++)
1445 if (children[i]->type == AST_WIRE || children[i]->type == AST_MEMORY || children[i]->type == AST_PARAMETER || children[i]->type == AST_LOCALPARAM || children[i]->type == AST_TYPEDEF) {
1446 children[i]->simplify(false, false, false, stage, -1, false, false);
1447 current_ast_mod->children.push_back(children[i]);
1448 current_scope[children[i]->str] = children[i];
1449 } else
1450 new_children.push_back(children[i]);
1451
1452 children.swap(new_children);
1453 did_something = true;
1454 str.clear();
1455 }
1456
1457 // simplify unconditional generate block
1458 if (type == AST_GENBLOCK && children.size() != 0)
1459 {
1460 if (!str.empty()) {
1461 std::map<std::string, std::string> name_map;
1462 expand_genblock(std::string(), str + ".", name_map);
1463 }
1464
1465 for (size_t i = 0; i < children.size(); i++) {
1466 children[i]->simplify(false, false, false, stage, -1, false, false);
1467 current_ast_mod->children.push_back(children[i]);
1468 }
1469
1470 children.clear();
1471 did_something = true;
1472 }
1473
1474 // simplify generate-if blocks
1475 if (type == AST_GENIF && children.size() != 0)
1476 {
1477 AstNode *buf = children[0]->clone();
1478 while (buf->simplify(true, false, false, stage, width_hint, sign_hint, false)) { }
1479 if (buf->type != AST_CONSTANT) {
1480 // for (auto f : log_files)
1481 // dumpAst(f, "verilog-ast> ");
1482 log_file_error(filename, location.first_line, "Condition for generate if is not constant!\n");
1483 }
1484 if (buf->asBool() != 0) {
1485 delete buf;
1486 buf = children[1]->clone();
1487 } else {
1488 delete buf;
1489 buf = children.size() > 2 ? children[2]->clone() : NULL;
1490 }
1491
1492 if (buf)
1493 {
1494 if (buf->type != AST_GENBLOCK)
1495 buf = new AstNode(AST_GENBLOCK, buf);
1496
1497 if (!buf->str.empty()) {
1498 std::map<std::string, std::string> name_map;
1499 buf->expand_genblock(std::string(), buf->str + ".", name_map);
1500 }
1501
1502 for (size_t i = 0; i < buf->children.size(); i++) {
1503 buf->children[i]->simplify(false, false, false, stage, -1, false, false);
1504 current_ast_mod->children.push_back(buf->children[i]);
1505 }
1506
1507 buf->children.clear();
1508 delete buf;
1509 }
1510
1511 delete_children();
1512 did_something = true;
1513 }
1514
1515 // simplify generate-case blocks
1516 if (type == AST_GENCASE && children.size() != 0)
1517 {
1518 AstNode *buf = children[0]->clone();
1519 while (buf->simplify(true, false, false, stage, width_hint, sign_hint, false)) { }
1520 if (buf->type != AST_CONSTANT) {
1521 // for (auto f : log_files)
1522 // dumpAst(f, "verilog-ast> ");
1523 log_file_error(filename, location.first_line, "Condition for generate case is not constant!\n");
1524 }
1525
1526 bool ref_signed = buf->is_signed;
1527 RTLIL::Const ref_value = buf->bitsAsConst();
1528 delete buf;
1529
1530 AstNode *selected_case = NULL;
1531 for (size_t i = 1; i < children.size(); i++)
1532 {
1533 log_assert(children.at(i)->type == AST_COND || children.at(i)->type == AST_CONDX || children.at(i)->type == AST_CONDZ);
1534
1535 AstNode *this_genblock = NULL;
1536 for (auto child : children.at(i)->children) {
1537 log_assert(this_genblock == NULL);
1538 if (child->type == AST_GENBLOCK)
1539 this_genblock = child;
1540 }
1541
1542 for (auto child : children.at(i)->children)
1543 {
1544 if (child->type == AST_DEFAULT) {
1545 if (selected_case == NULL)
1546 selected_case = this_genblock;
1547 continue;
1548 }
1549 if (child->type == AST_GENBLOCK)
1550 continue;
1551
1552 buf = child->clone();
1553 while (buf->simplify(true, false, false, stage, width_hint, sign_hint, false)) { }
1554 if (buf->type != AST_CONSTANT) {
1555 // for (auto f : log_files)
1556 // dumpAst(f, "verilog-ast> ");
1557 log_file_error(filename, location.first_line, "Expression in generate case is not constant!\n");
1558 }
1559
1560 bool is_selected = RTLIL::const_eq(ref_value, buf->bitsAsConst(), ref_signed && buf->is_signed, ref_signed && buf->is_signed, 1).as_bool();
1561 delete buf;
1562
1563 if (is_selected) {
1564 selected_case = this_genblock;
1565 i = children.size();
1566 break;
1567 }
1568 }
1569 }
1570
1571 if (selected_case != NULL)
1572 {
1573 log_assert(selected_case->type == AST_GENBLOCK);
1574 buf = selected_case->clone();
1575
1576 if (!buf->str.empty()) {
1577 std::map<std::string, std::string> name_map;
1578 buf->expand_genblock(std::string(), buf->str + ".", name_map);
1579 }
1580
1581 for (size_t i = 0; i < buf->children.size(); i++) {
1582 buf->children[i]->simplify(false, false, false, stage, -1, false, false);
1583 current_ast_mod->children.push_back(buf->children[i]);
1584 }
1585
1586 buf->children.clear();
1587 delete buf;
1588 }
1589
1590 delete_children();
1591 did_something = true;
1592 }
1593
1594 // unroll cell arrays
1595 if (type == AST_CELLARRAY)
1596 {
1597 if (!children.at(0)->range_valid)
1598 log_file_error(filename, location.first_line, "Non-constant array range on cell array.\n");
1599
1600 newNode = new AstNode(AST_GENBLOCK);
1601 int num = max(children.at(0)->range_left, children.at(0)->range_right) - min(children.at(0)->range_left, children.at(0)->range_right) + 1;
1602
1603 for (int i = 0; i < num; i++) {
1604 int idx = children.at(0)->range_left > children.at(0)->range_right ? children.at(0)->range_right + i : children.at(0)->range_right - i;
1605 AstNode *new_cell = children.at(1)->clone();
1606 newNode->children.push_back(new_cell);
1607 new_cell->str += stringf("[%d]", idx);
1608 if (new_cell->type == AST_PRIMITIVE) {
1609 log_file_error(filename, location.first_line, "Cell arrays of primitives are currently not supported.\n");
1610 } else {
1611 log_assert(new_cell->children.at(0)->type == AST_CELLTYPE);
1612 new_cell->children.at(0)->str = stringf("$array:%d:%d:%s", i, num, new_cell->children.at(0)->str.c_str());
1613 }
1614 }
1615
1616 goto apply_newNode;
1617 }
1618
1619 // replace primitives with assignments
1620 if (type == AST_PRIMITIVE)
1621 {
1622 if (children.size() < 2)
1623 log_file_error(filename, location.first_line, "Insufficient number of arguments for primitive `%s'!\n", str.c_str());
1624
1625 std::vector<AstNode*> children_list;
1626 for (auto child : children) {
1627 log_assert(child->type == AST_ARGUMENT);
1628 log_assert(child->children.size() == 1);
1629 children_list.push_back(child->children[0]);
1630 child->children.clear();
1631 delete child;
1632 }
1633 children.clear();
1634
1635 if (str == "bufif0" || str == "bufif1" || str == "notif0" || str == "notif1")
1636 {
1637 if (children_list.size() != 3)
1638 log_file_error(filename, location.first_line, "Invalid number of arguments for primitive `%s'!\n", str.c_str());
1639
1640 std::vector<RTLIL::State> z_const(1, RTLIL::State::Sz);
1641
1642 AstNode *mux_input = children_list.at(1);
1643 if (str == "notif0" || str == "notif1") {
1644 mux_input = new AstNode(AST_BIT_NOT, mux_input);
1645 }
1646 AstNode *node = new AstNode(AST_TERNARY, children_list.at(2));
1647 if (str == "bufif0") {
1648 node->children.push_back(AstNode::mkconst_bits(z_const, false));
1649 node->children.push_back(mux_input);
1650 } else {
1651 node->children.push_back(mux_input);
1652 node->children.push_back(AstNode::mkconst_bits(z_const, false));
1653 }
1654
1655 str.clear();
1656 type = AST_ASSIGN;
1657 children.push_back(children_list.at(0));
1658 children.back()->was_checked = true;
1659 children.push_back(node);
1660 did_something = true;
1661 }
1662 else
1663 {
1664 AstNodeType op_type = AST_NONE;
1665 bool invert_results = false;
1666
1667 if (str == "and")
1668 op_type = AST_BIT_AND;
1669 if (str == "nand")
1670 op_type = AST_BIT_AND, invert_results = true;
1671 if (str == "or")
1672 op_type = AST_BIT_OR;
1673 if (str == "nor")
1674 op_type = AST_BIT_OR, invert_results = true;
1675 if (str == "xor")
1676 op_type = AST_BIT_XOR;
1677 if (str == "xnor")
1678 op_type = AST_BIT_XOR, invert_results = true;
1679 if (str == "buf")
1680 op_type = AST_POS;
1681 if (str == "not")
1682 op_type = AST_POS, invert_results = true;
1683 log_assert(op_type != AST_NONE);
1684
1685 AstNode *node = children_list[1];
1686 if (op_type != AST_POS)
1687 for (size_t i = 2; i < children_list.size(); i++)
1688 node = new AstNode(op_type, node, children_list[i]);
1689 if (invert_results)
1690 node = new AstNode(AST_BIT_NOT, node);
1691
1692 str.clear();
1693 type = AST_ASSIGN;
1694 children.push_back(children_list[0]);
1695 children.back()->was_checked = true;
1696 children.push_back(node);
1697 did_something = true;
1698 }
1699 }
1700
1701 // replace dynamic ranges in left-hand side expressions (e.g. "foo[bar] <= 1'b1;") with
1702 // a big case block that selects the correct single-bit assignment.
1703 if (type == AST_ASSIGN_EQ || type == AST_ASSIGN_LE) {
1704 if (children[0]->type != AST_IDENTIFIER || children[0]->children.size() == 0)
1705 goto skip_dynamic_range_lvalue_expansion;
1706 if (children[0]->children[0]->range_valid || did_something)
1707 goto skip_dynamic_range_lvalue_expansion;
1708 if (children[0]->id2ast == NULL || children[0]->id2ast->type != AST_WIRE)
1709 goto skip_dynamic_range_lvalue_expansion;
1710 if (!children[0]->id2ast->range_valid)
1711 goto skip_dynamic_range_lvalue_expansion;
1712 int source_width = children[0]->id2ast->range_left - children[0]->id2ast->range_right + 1;
1713 int result_width = 1;
1714 AstNode *shift_expr = NULL;
1715 AstNode *range = children[0]->children[0];
1716 if (range->children.size() == 1) {
1717 shift_expr = range->children[0]->clone();
1718 } else {
1719 shift_expr = range->children[1]->clone();
1720 AstNode *left_at_zero_ast = range->children[0]->clone();
1721 AstNode *right_at_zero_ast = range->children[1]->clone();
1722 while (left_at_zero_ast->simplify(true, true, false, stage, -1, false, false)) { }
1723 while (right_at_zero_ast->simplify(true, true, false, stage, -1, false, false)) { }
1724 if (left_at_zero_ast->type != AST_CONSTANT || right_at_zero_ast->type != AST_CONSTANT)
1725 log_file_error(filename, location.first_line, "Unsupported expression on dynamic range select on signal `%s'!\n", str.c_str());
1726 result_width = abs(int(left_at_zero_ast->integer - right_at_zero_ast->integer)) + 1;
1727 }
1728 did_something = true;
1729 newNode = new AstNode(AST_CASE, shift_expr);
1730 for (int i = 0; i <= source_width-result_width; i++) {
1731 int start_bit = children[0]->id2ast->range_right + i;
1732 AstNode *cond = new AstNode(AST_COND, mkconst_int(start_bit, true));
1733 AstNode *lvalue = children[0]->clone();
1734 lvalue->delete_children();
1735 lvalue->children.push_back(new AstNode(AST_RANGE,
1736 mkconst_int(start_bit+result_width-1, true), mkconst_int(start_bit, true)));
1737 cond->children.push_back(new AstNode(AST_BLOCK, new AstNode(type, lvalue, children[1]->clone())));
1738 newNode->children.push_back(cond);
1739 }
1740 goto apply_newNode;
1741 }
1742 skip_dynamic_range_lvalue_expansion:;
1743
1744 if (stage > 1 && (type == AST_ASSERT || type == AST_ASSUME || type == AST_LIVE || type == AST_FAIR || type == AST_COVER) && current_block != NULL)
1745 {
1746 std::stringstream sstr;
1747 sstr << "$formal$" << filename << ":" << location.first_line << "$" << (autoidx++);
1748 std::string id_check = sstr.str() + "_CHECK", id_en = sstr.str() + "_EN";
1749
1750 AstNode *wire_check = new AstNode(AST_WIRE);
1751 wire_check->str = id_check;
1752 wire_check->was_checked = true;
1753 current_ast_mod->children.push_back(wire_check);
1754 current_scope[wire_check->str] = wire_check;
1755 while (wire_check->simplify(true, false, false, 1, -1, false, false)) { }
1756
1757 AstNode *wire_en = new AstNode(AST_WIRE);
1758 wire_en->str = id_en;
1759 wire_en->was_checked = true;
1760 current_ast_mod->children.push_back(wire_en);
1761 if (current_always_clocked) {
1762 current_ast_mod->children.push_back(new AstNode(AST_INITIAL, new AstNode(AST_BLOCK, new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), AstNode::mkconst_int(0, false, 1)))));
1763 current_ast_mod->children.back()->children[0]->children[0]->children[0]->str = id_en;
1764 current_ast_mod->children.back()->children[0]->children[0]->children[0]->was_checked = true;
1765 }
1766 current_scope[wire_en->str] = wire_en;
1767 while (wire_en->simplify(true, false, false, 1, -1, false, false)) { }
1768
1769 AstNode *check_defval;
1770 if (type == AST_LIVE || type == AST_FAIR) {
1771 check_defval = new AstNode(AST_REDUCE_BOOL, children[0]->clone());
1772 } else {
1773 std::vector<RTLIL::State> x_bit;
1774 x_bit.push_back(RTLIL::State::Sx);
1775 check_defval = mkconst_bits(x_bit, false);
1776 }
1777
1778 AstNode *assign_check = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), check_defval);
1779 assign_check->children[0]->str = id_check;
1780 assign_check->children[0]->was_checked = true;
1781
1782 AstNode *assign_en = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), mkconst_int(0, false, 1));
1783 assign_en->children[0]->str = id_en;
1784 assign_en->children[0]->was_checked = true;
1785
1786 AstNode *default_signals = new AstNode(AST_BLOCK);
1787 default_signals->children.push_back(assign_check);
1788 default_signals->children.push_back(assign_en);
1789 current_top_block->children.insert(current_top_block->children.begin(), default_signals);
1790
1791 if (type == AST_LIVE || type == AST_FAIR) {
1792 assign_check = nullptr;
1793 } else {
1794 assign_check = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), new AstNode(AST_REDUCE_BOOL, children[0]->clone()));
1795 assign_check->children[0]->str = id_check;
1796 assign_check->children[0]->was_checked = true;
1797 }
1798
1799 if (current_always == nullptr || current_always->type != AST_INITIAL) {
1800 assign_en = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), mkconst_int(1, false, 1));
1801 } else {
1802 assign_en = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), new AstNode(AST_FCALL));
1803 assign_en->children[1]->str = "\\$initstate";
1804 }
1805 assign_en->children[0]->str = id_en;
1806 assign_en->children[0]->was_checked = true;
1807
1808 newNode = new AstNode(AST_BLOCK);
1809 if (assign_check != nullptr)
1810 newNode->children.push_back(assign_check);
1811 newNode->children.push_back(assign_en);
1812
1813 AstNode *assertnode = new AstNode(type);
1814 assertnode->str = str;
1815 assertnode->children.push_back(new AstNode(AST_IDENTIFIER));
1816 assertnode->children.push_back(new AstNode(AST_IDENTIFIER));
1817 assertnode->children[0]->str = id_check;
1818 assertnode->children[1]->str = id_en;
1819 assertnode->attributes.swap(attributes);
1820 current_ast_mod->children.push_back(assertnode);
1821
1822 goto apply_newNode;
1823 }
1824
1825 if (stage > 1 && (type == AST_ASSERT || type == AST_ASSUME || type == AST_LIVE || type == AST_FAIR || type == AST_COVER) && children.size() == 1)
1826 {
1827 children.push_back(mkconst_int(1, false, 1));
1828 did_something = true;
1829 }
1830
1831 // found right-hand side identifier for memory -> replace with memory read port
1832 if (stage > 1 && type == AST_IDENTIFIER && id2ast != NULL && id2ast->type == AST_MEMORY && !in_lvalue &&
1833 children.size() == 1 && children[0]->type == AST_RANGE && children[0]->children.size() == 1) {
1834 newNode = new AstNode(AST_MEMRD, children[0]->children[0]->clone());
1835 newNode->str = str;
1836 newNode->id2ast = id2ast;
1837 goto apply_newNode;
1838 }
1839
1840 // assignment with nontrivial member in left-hand concat expression -> split assignment
1841 if ((type == AST_ASSIGN_EQ || type == AST_ASSIGN_LE) && children[0]->type == AST_CONCAT && width_hint > 0)
1842 {
1843 bool found_nontrivial_member = false;
1844
1845 for (auto child : children[0]->children) {
1846 if (child->type == AST_IDENTIFIER && child->id2ast != NULL && child->id2ast->type == AST_MEMORY)
1847 found_nontrivial_member = true;
1848 }
1849
1850 if (found_nontrivial_member)
1851 {
1852 newNode = new AstNode(AST_BLOCK);
1853
1854 AstNode *wire_tmp = new AstNode(AST_WIRE, new AstNode(AST_RANGE, mkconst_int(width_hint-1, true), mkconst_int(0, true)));
1855 wire_tmp->str = stringf("$splitcmplxassign$%s:%d$%d", filename.c_str(), location.first_line, autoidx++);
1856 current_ast_mod->children.push_back(wire_tmp);
1857 current_scope[wire_tmp->str] = wire_tmp;
1858 wire_tmp->attributes["\\nosync"] = AstNode::mkconst_int(1, false);
1859 while (wire_tmp->simplify(true, false, false, 1, -1, false, false)) { }
1860 wire_tmp->is_logic = true;
1861
1862 AstNode *wire_tmp_id = new AstNode(AST_IDENTIFIER);
1863 wire_tmp_id->str = wire_tmp->str;
1864
1865 newNode->children.push_back(new AstNode(AST_ASSIGN_EQ, wire_tmp_id, children[1]->clone()));
1866 newNode->children.back()->was_checked = true;
1867
1868 int cursor = 0;
1869 for (auto child : children[0]->children)
1870 {
1871 int child_width_hint = -1;
1872 bool child_sign_hint = true;
1873 child->detectSignWidth(child_width_hint, child_sign_hint);
1874
1875 AstNode *rhs = wire_tmp_id->clone();
1876 rhs->children.push_back(new AstNode(AST_RANGE, AstNode::mkconst_int(cursor+child_width_hint-1, true), AstNode::mkconst_int(cursor, true)));
1877 newNode->children.push_back(new AstNode(type, child->clone(), rhs));
1878
1879 cursor += child_width_hint;
1880 }
1881
1882 goto apply_newNode;
1883 }
1884 }
1885
1886 // assignment with memory in left-hand side expression -> replace with memory write port
1887 if (stage > 1 && (type == AST_ASSIGN_EQ || type == AST_ASSIGN_LE) && children[0]->type == AST_IDENTIFIER &&
1888 children[0]->id2ast && children[0]->id2ast->type == AST_MEMORY && children[0]->id2ast->children.size() >= 2 &&
1889 children[0]->id2ast->children[0]->range_valid && children[0]->id2ast->children[1]->range_valid &&
1890 (children[0]->children.size() == 1 || children[0]->children.size() == 2) && children[0]->children[0]->type == AST_RANGE)
1891 {
1892 std::stringstream sstr;
1893 sstr << "$memwr$" << children[0]->str << "$" << filename << ":" << location.first_line << "$" << (autoidx++);
1894 std::string id_addr = sstr.str() + "_ADDR", id_data = sstr.str() + "_DATA", id_en = sstr.str() + "_EN";
1895
1896 int mem_width, mem_size, addr_bits;
1897 bool mem_signed = children[0]->id2ast->is_signed;
1898 children[0]->id2ast->meminfo(mem_width, mem_size, addr_bits);
1899
1900 int data_range_left = children[0]->id2ast->children[0]->range_left;
1901 int data_range_right = children[0]->id2ast->children[0]->range_right;
1902 int mem_data_range_offset = std::min(data_range_left, data_range_right);
1903
1904 int addr_width_hint = -1;
1905 bool addr_sign_hint = true;
1906 children[0]->children[0]->children[0]->detectSignWidthWorker(addr_width_hint, addr_sign_hint);
1907 addr_bits = std::max(addr_bits, addr_width_hint);
1908
1909 AstNode *wire_addr = new AstNode(AST_WIRE, new AstNode(AST_RANGE, mkconst_int(addr_bits-1, true), mkconst_int(0, true)));
1910 wire_addr->str = id_addr;
1911 wire_addr->was_checked = true;
1912 current_ast_mod->children.push_back(wire_addr);
1913 current_scope[wire_addr->str] = wire_addr;
1914 while (wire_addr->simplify(true, false, false, 1, -1, false, false)) { }
1915
1916 AstNode *wire_data = new AstNode(AST_WIRE, new AstNode(AST_RANGE, mkconst_int(mem_width-1, true), mkconst_int(0, true)));
1917 wire_data->str = id_data;
1918 wire_data->was_checked = true;
1919 wire_data->is_signed = mem_signed;
1920 current_ast_mod->children.push_back(wire_data);
1921 current_scope[wire_data->str] = wire_data;
1922 while (wire_data->simplify(true, false, false, 1, -1, false, false)) { }
1923
1924 AstNode *wire_en = nullptr;
1925 if (current_always->type != AST_INITIAL) {
1926 wire_en = new AstNode(AST_WIRE, new AstNode(AST_RANGE, mkconst_int(mem_width-1, true), mkconst_int(0, true)));
1927 wire_en->str = id_en;
1928 wire_en->was_checked = true;
1929 current_ast_mod->children.push_back(wire_en);
1930 current_scope[wire_en->str] = wire_en;
1931 while (wire_en->simplify(true, false, false, 1, -1, false, false)) { }
1932 }
1933
1934 std::vector<RTLIL::State> x_bits_addr, x_bits_data, set_bits_en;
1935 for (int i = 0; i < addr_bits; i++)
1936 x_bits_addr.push_back(RTLIL::State::Sx);
1937 for (int i = 0; i < mem_width; i++)
1938 x_bits_data.push_back(RTLIL::State::Sx);
1939 for (int i = 0; i < mem_width; i++)
1940 set_bits_en.push_back(RTLIL::State::S1);
1941
1942 AstNode *assign_addr = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), mkconst_bits(x_bits_addr, false));
1943 assign_addr->children[0]->str = id_addr;
1944 assign_addr->children[0]->was_checked = true;
1945
1946 AstNode *assign_data = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), mkconst_bits(x_bits_data, false));
1947 assign_data->children[0]->str = id_data;
1948 assign_data->children[0]->was_checked = true;
1949
1950 AstNode *assign_en = nullptr;
1951 if (current_always->type != AST_INITIAL) {
1952 assign_en = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), mkconst_int(0, false, mem_width));
1953 assign_en->children[0]->str = id_en;
1954 assign_en->children[0]->was_checked = true;
1955 }
1956
1957 AstNode *default_signals = new AstNode(AST_BLOCK);
1958 default_signals->children.push_back(assign_addr);
1959 default_signals->children.push_back(assign_data);
1960 if (current_always->type != AST_INITIAL)
1961 default_signals->children.push_back(assign_en);
1962 current_top_block->children.insert(current_top_block->children.begin(), default_signals);
1963
1964 assign_addr = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), children[0]->children[0]->children[0]->clone());
1965 assign_addr->children[0]->str = id_addr;
1966 assign_addr->children[0]->was_checked = true;
1967
1968 if (children[0]->children.size() == 2)
1969 {
1970 if (children[0]->children[1]->range_valid)
1971 {
1972 int offset = children[0]->children[1]->range_right;
1973 int width = children[0]->children[1]->range_left - offset + 1;
1974 offset -= mem_data_range_offset;
1975
1976 std::vector<RTLIL::State> padding_x(offset, RTLIL::State::Sx);
1977
1978 assign_data = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER),
1979 new AstNode(AST_CONCAT, mkconst_bits(padding_x, false), children[1]->clone()));
1980 assign_data->children[0]->str = id_data;
1981 assign_data->children[0]->was_checked = true;
1982
1983 if (current_always->type != AST_INITIAL) {
1984 for (int i = 0; i < mem_width; i++)
1985 set_bits_en[i] = offset <= i && i < offset+width ? RTLIL::State::S1 : RTLIL::State::S0;
1986 assign_en = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), mkconst_bits(set_bits_en, false));
1987 assign_en->children[0]->str = id_en;
1988 assign_en->children[0]->was_checked = true;
1989 }
1990 }
1991 else
1992 {
1993 AstNode *the_range = children[0]->children[1];
1994 AstNode *left_at_zero_ast = the_range->children[0]->clone();
1995 AstNode *right_at_zero_ast = the_range->children.size() >= 2 ? the_range->children[1]->clone() : left_at_zero_ast->clone();
1996 AstNode *offset_ast = right_at_zero_ast->clone();
1997
1998 if (mem_data_range_offset)
1999 offset_ast = new AstNode(AST_SUB, offset_ast, mkconst_int(mem_data_range_offset, true));
2000
2001 while (left_at_zero_ast->simplify(true, true, false, 1, -1, false, false)) { }
2002 while (right_at_zero_ast->simplify(true, true, false, 1, -1, false, false)) { }
2003 if (left_at_zero_ast->type != AST_CONSTANT || right_at_zero_ast->type != AST_CONSTANT)
2004 log_file_error(filename, location.first_line, "Unsupported expression on dynamic range select on signal `%s'!\n", str.c_str());
2005 int width = abs(int(left_at_zero_ast->integer - right_at_zero_ast->integer)) + 1;
2006
2007 assign_data = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER),
2008 new AstNode(AST_SHIFT_LEFT, children[1]->clone(), offset_ast->clone()));
2009 assign_data->children[0]->str = id_data;
2010 assign_data->children[0]->was_checked = true;
2011
2012 if (current_always->type != AST_INITIAL) {
2013 for (int i = 0; i < mem_width; i++)
2014 set_bits_en[i] = i < width ? RTLIL::State::S1 : RTLIL::State::S0;
2015 assign_en = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER),
2016 new AstNode(AST_SHIFT_LEFT, mkconst_bits(set_bits_en, false), offset_ast->clone()));
2017 assign_en->children[0]->str = id_en;
2018 assign_en->children[0]->was_checked = true;
2019 }
2020
2021 delete left_at_zero_ast;
2022 delete right_at_zero_ast;
2023 delete offset_ast;
2024 }
2025 }
2026 else
2027 {
2028 assign_data = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), children[1]->clone());
2029 assign_data->children[0]->str = id_data;
2030 assign_data->children[0]->was_checked = true;
2031
2032 if (current_always->type != AST_INITIAL) {
2033 assign_en = new AstNode(AST_ASSIGN_LE, new AstNode(AST_IDENTIFIER), mkconst_bits(set_bits_en, false));
2034 assign_en->children[0]->str = id_en;
2035 assign_en->children[0]->was_checked = true;
2036 }
2037 }
2038
2039 newNode = new AstNode(AST_BLOCK);
2040 newNode->children.push_back(assign_addr);
2041 newNode->children.push_back(assign_data);
2042 if (current_always->type != AST_INITIAL)
2043 newNode->children.push_back(assign_en);
2044
2045 AstNode *wrnode = new AstNode(current_always->type == AST_INITIAL ? AST_MEMINIT : AST_MEMWR);
2046 wrnode->children.push_back(new AstNode(AST_IDENTIFIER));
2047 wrnode->children.push_back(new AstNode(AST_IDENTIFIER));
2048 if (current_always->type != AST_INITIAL)
2049 wrnode->children.push_back(new AstNode(AST_IDENTIFIER));
2050 else
2051 wrnode->children.push_back(AstNode::mkconst_int(1, false));
2052 wrnode->str = children[0]->str;
2053 wrnode->id2ast = children[0]->id2ast;
2054 wrnode->children[0]->str = id_addr;
2055 wrnode->children[1]->str = id_data;
2056 if (current_always->type != AST_INITIAL)
2057 wrnode->children[2]->str = id_en;
2058 current_ast_mod->children.push_back(wrnode);
2059
2060 goto apply_newNode;
2061 }
2062
2063 // replace function and task calls with the code from the function or task
2064 if ((type == AST_FCALL || type == AST_TCALL) && !str.empty())
2065 {
2066 if (type == AST_FCALL)
2067 {
2068 if (str == "\\$initstate")
2069 {
2070 int myidx = autoidx++;
2071
2072 AstNode *wire = new AstNode(AST_WIRE);
2073 wire->str = stringf("$initstate$%d_wire", myidx);
2074 current_ast_mod->children.push_back(wire);
2075 while (wire->simplify(true, false, false, 1, -1, false, false)) { }
2076
2077 AstNode *cell = new AstNode(AST_CELL, new AstNode(AST_CELLTYPE), new AstNode(AST_ARGUMENT, new AstNode(AST_IDENTIFIER)));
2078 cell->str = stringf("$initstate$%d", myidx);
2079 cell->children[0]->str = "$initstate";
2080 cell->children[1]->str = "\\Y";
2081 cell->children[1]->children[0]->str = wire->str;
2082 cell->children[1]->children[0]->id2ast = wire;
2083 current_ast_mod->children.push_back(cell);
2084 while (cell->simplify(true, false, false, 1, -1, false, false)) { }
2085
2086 newNode = new AstNode(AST_IDENTIFIER);
2087 newNode->str = wire->str;
2088 newNode->id2ast = wire;
2089 goto apply_newNode;
2090 }
2091
2092 if (str == "\\$past")
2093 {
2094 if (width_hint < 0)
2095 goto replace_fcall_later;
2096
2097 int num_steps = 1;
2098
2099 if (GetSize(children) != 1 && GetSize(children) != 2)
2100 log_file_error(filename, location.first_line, "System function %s got %d arguments, expected 1 or 2.\n",
2101 RTLIL::unescape_id(str).c_str(), int(children.size()));
2102
2103 if (!current_always_clocked)
2104 log_file_error(filename, location.first_line, "System function %s is only allowed in clocked blocks.\n",
2105 RTLIL::unescape_id(str).c_str());
2106
2107 if (GetSize(children) == 2)
2108 {
2109 AstNode *buf = children[1]->clone();
2110 while (buf->simplify(true, false, false, stage, -1, false, false)) { }
2111 if (buf->type != AST_CONSTANT)
2112 log_file_error(filename, location.first_line, "Failed to evaluate system function `%s' with non-constant value.\n", str.c_str());
2113
2114 num_steps = buf->asInt(true);
2115 delete buf;
2116 }
2117
2118 AstNode *block = nullptr;
2119
2120 for (auto child : current_always->children)
2121 if (child->type == AST_BLOCK)
2122 block = child;
2123
2124 log_assert(block != nullptr);
2125
2126 if (num_steps == 0) {
2127 newNode = children[0]->clone();
2128 goto apply_newNode;
2129 }
2130
2131 int myidx = autoidx++;
2132 AstNode *outreg = nullptr;
2133
2134 for (int i = 0; i < num_steps; i++)
2135 {
2136 AstNode *reg = new AstNode(AST_WIRE, new AstNode(AST_RANGE,
2137 mkconst_int(width_hint-1, true), mkconst_int(0, true)));
2138
2139 reg->str = stringf("$past$%s:%d$%d$%d", filename.c_str(), location.first_line, myidx, i);
2140 reg->is_reg = true;
2141
2142 current_ast_mod->children.push_back(reg);
2143
2144 while (reg->simplify(true, false, false, 1, -1, false, false)) { }
2145
2146 AstNode *regid = new AstNode(AST_IDENTIFIER);
2147 regid->str = reg->str;
2148 regid->id2ast = reg;
2149 regid->was_checked = true;
2150
2151 AstNode *rhs = nullptr;
2152
2153 if (outreg == nullptr) {
2154 rhs = children.at(0)->clone();
2155 } else {
2156 rhs = new AstNode(AST_IDENTIFIER);
2157 rhs->str = outreg->str;
2158 rhs->id2ast = outreg;
2159 }
2160
2161 block->children.push_back(new AstNode(AST_ASSIGN_LE, regid, rhs));
2162 outreg = reg;
2163 }
2164
2165 newNode = new AstNode(AST_IDENTIFIER);
2166 newNode->str = outreg->str;
2167 newNode->id2ast = outreg;
2168 goto apply_newNode;
2169 }
2170
2171 if (str == "\\$stable" || str == "\\$rose" || str == "\\$fell" || str == "\\$changed")
2172 {
2173 if (GetSize(children) != 1)
2174 log_file_error(filename, location.first_line, "System function %s got %d arguments, expected 1.\n",
2175 RTLIL::unescape_id(str).c_str(), int(children.size()));
2176
2177 if (!current_always_clocked)
2178 log_file_error(filename, location.first_line, "System function %s is only allowed in clocked blocks.\n",
2179 RTLIL::unescape_id(str).c_str());
2180
2181 AstNode *present = children.at(0)->clone();
2182 AstNode *past = clone();
2183 past->str = "\\$past";
2184
2185 if (str == "\\$stable")
2186 newNode = new AstNode(AST_EQ, past, present);
2187
2188 else if (str == "\\$changed")
2189 newNode = new AstNode(AST_NE, past, present);
2190
2191 else if (str == "\\$rose")
2192 newNode = new AstNode(AST_LOGIC_AND,
2193 new AstNode(AST_LOGIC_NOT, new AstNode(AST_BIT_AND, past, mkconst_int(1,false))),
2194 new AstNode(AST_BIT_AND, present, mkconst_int(1,false)));
2195
2196 else if (str == "\\$fell")
2197 newNode = new AstNode(AST_LOGIC_AND,
2198 new AstNode(AST_BIT_AND, past, mkconst_int(1,false)),
2199 new AstNode(AST_LOGIC_NOT, new AstNode(AST_BIT_AND, present, mkconst_int(1,false))));
2200
2201 else
2202 log_abort();
2203
2204 goto apply_newNode;
2205 }
2206
2207 // $anyconst and $anyseq are mapped in AstNode::genRTLIL()
2208 if (str == "\\$anyconst" || str == "\\$anyseq" || str == "\\$allconst" || str == "\\$allseq") {
2209 recursion_counter--;
2210 return false;
2211 }
2212
2213 if (str == "\\$clog2")
2214 {
2215 if (children.size() != 1)
2216 log_file_error(filename, location.first_line, "System function %s got %d arguments, expected 1.\n",
2217 RTLIL::unescape_id(str).c_str(), int(children.size()));
2218
2219 AstNode *buf = children[0]->clone();
2220 while (buf->simplify(true, false, false, stage, width_hint, sign_hint, false)) { }
2221 if (buf->type != AST_CONSTANT)
2222 log_file_error(filename, location.first_line, "Failed to evaluate system function `%s' with non-constant value.\n", str.c_str());
2223
2224 RTLIL::Const arg_value = buf->bitsAsConst();
2225 if (arg_value.as_bool())
2226 arg_value = const_sub(arg_value, 1, false, false, GetSize(arg_value));
2227 delete buf;
2228
2229 uint32_t result = 0;
2230 for (size_t i = 0; i < arg_value.bits.size(); i++)
2231 if (arg_value.bits.at(i) == RTLIL::State::S1)
2232 result = i + 1;
2233
2234 newNode = mkconst_int(result, true);
2235 goto apply_newNode;
2236 }
2237
2238 if (str == "\\$size" || str == "\\$bits")
2239 {
2240 if (str == "\\$bits" && children.size() != 1)
2241 log_file_error(filename, location.first_line, "System function %s got %d arguments, expected 1.\n",
2242 RTLIL::unescape_id(str).c_str(), int(children.size()));
2243
2244 if (str == "\\$size" && children.size() != 1 && children.size() != 2)
2245 log_file_error(filename, location.first_line, "System function %s got %d arguments, expected 1 or 2.\n",
2246 RTLIL::unescape_id(str).c_str(), int(children.size()));
2247
2248 int dim = 1;
2249 if (str == "\\$size" && children.size() == 2) {
2250 AstNode *buf = children[1]->clone();
2251 // Evaluate constant expression
2252 while (buf->simplify(true, false, false, stage, width_hint, sign_hint, false)) { }
2253 dim = buf->asInt(false);
2254 delete buf;
2255 }
2256 AstNode *buf = children[0]->clone();
2257 int mem_depth = 1;
2258 AstNode *id_ast = NULL;
2259
2260 // Is this needed?
2261 //while (buf->simplify(true, false, false, stage, width_hint, sign_hint, false)) { }
2262 buf->detectSignWidth(width_hint, sign_hint);
2263
2264 if (buf->type == AST_IDENTIFIER) {
2265 id_ast = buf->id2ast;
2266 if (id_ast == NULL && current_scope.count(buf->str))
2267 id_ast = current_scope.at(buf->str);
2268 if (!id_ast)
2269 log_file_error(filename, location.first_line, "Failed to resolve identifier %s for width detection!\n", buf->str.c_str());
2270 if (id_ast->type == AST_MEMORY) {
2271 // We got here only if the argument is a memory
2272 // Otherwise $size() and $bits() return the expression width
2273 AstNode *mem_range = id_ast->children[1];
2274 if (str == "\\$bits") {
2275 if (mem_range->type == AST_RANGE) {
2276 if (!mem_range->range_valid)
2277 log_file_error(filename, location.first_line, "Failed to detect width of memory access `%s'!\n", buf->str.c_str());
2278 mem_depth = mem_range->range_left - mem_range->range_right + 1;
2279 } else
2280 log_file_error(filename, location.first_line, "Unknown memory depth AST type in `%s'!\n", buf->str.c_str());
2281 } else {
2282 // $size()
2283 if (mem_range->type == AST_RANGE) {
2284 if (!mem_range->range_valid)
2285 log_file_error(filename, location.first_line, "Failed to detect width of memory access `%s'!\n", buf->str.c_str());
2286 int dims;
2287 if (id_ast->multirange_dimensions.empty())
2288 dims = 1;
2289 else
2290 dims = GetSize(id_ast->multirange_dimensions)/2;
2291 if (dim == 1)
2292 width_hint = (dims > 1) ? id_ast->multirange_dimensions[1] : (mem_range->range_left - mem_range->range_right + 1);
2293 else if (dim <= dims) {
2294 width_hint = id_ast->multirange_dimensions[2*dim-1];
2295 } else if ((dim > dims+1) || (dim < 0))
2296 log_file_error(filename, location.first_line, "Dimension %d out of range in `%s', as it only has dimensions 1..%d!\n", dim, buf->str.c_str(), dims+1);
2297 } else
2298 log_file_error(filename, location.first_line, "Unknown memory depth AST type in `%s'!\n", buf->str.c_str());
2299 }
2300 }
2301 }
2302 delete buf;
2303
2304 newNode = mkconst_int(width_hint * mem_depth, false);
2305 goto apply_newNode;
2306 }
2307
2308 if (str == "\\$ln" || str == "\\$log10" || str == "\\$exp" || str == "\\$sqrt" || str == "\\$pow" ||
2309 str == "\\$floor" || str == "\\$ceil" || str == "\\$sin" || str == "\\$cos" || str == "\\$tan" ||
2310 str == "\\$asin" || str == "\\$acos" || str == "\\$atan" || str == "\\$atan2" || str == "\\$hypot" ||
2311 str == "\\$sinh" || str == "\\$cosh" || str == "\\$tanh" || str == "\\$asinh" || str == "\\$acosh" || str == "\\$atanh" ||
2312 str == "\\$rtoi" || str == "\\$itor")
2313 {
2314 bool func_with_two_arguments = str == "\\$pow" || str == "\\$atan2" || str == "\\$hypot";
2315 double x = 0, y = 0;
2316
2317 if (func_with_two_arguments) {
2318 if (children.size() != 2)
2319 log_file_error(filename, location.first_line, "System function %s got %d arguments, expected 2.\n",
2320 RTLIL::unescape_id(str).c_str(), int(children.size()));
2321 } else {
2322 if (children.size() != 1)
2323 log_file_error(filename, location.first_line, "System function %s got %d arguments, expected 1.\n",
2324 RTLIL::unescape_id(str).c_str(), int(children.size()));
2325 }
2326
2327 if (children.size() >= 1) {
2328 while (children[0]->simplify(true, false, false, stage, width_hint, sign_hint, false)) { }
2329 if (!children[0]->isConst())
2330 log_file_error(filename, location.first_line, "Failed to evaluate system function `%s' with non-constant argument.\n",
2331 RTLIL::unescape_id(str).c_str());
2332 int child_width_hint = width_hint;
2333 bool child_sign_hint = sign_hint;
2334 children[0]->detectSignWidth(child_width_hint, child_sign_hint);
2335 x = children[0]->asReal(child_sign_hint);
2336 }
2337
2338 if (children.size() >= 2) {
2339 while (children[1]->simplify(true, false, false, stage, width_hint, sign_hint, false)) { }
2340 if (!children[1]->isConst())
2341 log_file_error(filename, location.first_line, "Failed to evaluate system function `%s' with non-constant argument.\n",
2342 RTLIL::unescape_id(str).c_str());
2343 int child_width_hint = width_hint;
2344 bool child_sign_hint = sign_hint;
2345 children[1]->detectSignWidth(child_width_hint, child_sign_hint);
2346 y = children[1]->asReal(child_sign_hint);
2347 }
2348
2349 if (str == "\\$rtoi") {
2350 newNode = AstNode::mkconst_int(x, true);
2351 } else {
2352 newNode = new AstNode(AST_REALVALUE);
2353 if (str == "\\$ln") newNode->realvalue = ::log(x);
2354 else if (str == "\\$log10") newNode->realvalue = ::log10(x);
2355 else if (str == "\\$exp") newNode->realvalue = ::exp(x);
2356 else if (str == "\\$sqrt") newNode->realvalue = ::sqrt(x);
2357 else if (str == "\\$pow") newNode->realvalue = ::pow(x, y);
2358 else if (str == "\\$floor") newNode->realvalue = ::floor(x);
2359 else if (str == "\\$ceil") newNode->realvalue = ::ceil(x);
2360 else if (str == "\\$sin") newNode->realvalue = ::sin(x);
2361 else if (str == "\\$cos") newNode->realvalue = ::cos(x);
2362 else if (str == "\\$tan") newNode->realvalue = ::tan(x);
2363 else if (str == "\\$asin") newNode->realvalue = ::asin(x);
2364 else if (str == "\\$acos") newNode->realvalue = ::acos(x);
2365 else if (str == "\\$atan") newNode->realvalue = ::atan(x);
2366 else if (str == "\\$atan2") newNode->realvalue = ::atan2(x, y);
2367 else if (str == "\\$hypot") newNode->realvalue = ::hypot(x, y);
2368 else if (str == "\\$sinh") newNode->realvalue = ::sinh(x);
2369 else if (str == "\\$cosh") newNode->realvalue = ::cosh(x);
2370 else if (str == "\\$tanh") newNode->realvalue = ::tanh(x);
2371 else if (str == "\\$asinh") newNode->realvalue = ::asinh(x);
2372 else if (str == "\\$acosh") newNode->realvalue = ::acosh(x);
2373 else if (str == "\\$atanh") newNode->realvalue = ::atanh(x);
2374 else if (str == "\\$itor") newNode->realvalue = x;
2375 else log_abort();
2376 }
2377 goto apply_newNode;
2378 }
2379
2380 if (str == "\\$sformatf") {
2381 AstNode *node_string = children[0];
2382 while (node_string->simplify(true, false, false, stage, width_hint, sign_hint, false)) { }
2383 if (node_string->type != AST_CONSTANT)
2384 log_file_error(filename, location.first_line, "Failed to evaluate system function `%s' with non-constant 1st argument.\n", str.c_str());
2385 std::string sformat = node_string->bitsAsConst().decode_string();
2386 std::string sout = process_format_str(sformat, 1, stage, width_hint, sign_hint);
2387 newNode = AstNode::mkconst_str(sout);
2388 goto apply_newNode;
2389 }
2390
2391 if (current_scope.count(str) != 0 && current_scope[str]->type == AST_DPI_FUNCTION)
2392 {
2393 AstNode *dpi_decl = current_scope[str];
2394
2395 std::string rtype, fname;
2396 std::vector<std::string> argtypes;
2397 std::vector<AstNode*> args;
2398
2399 rtype = RTLIL::unescape_id(dpi_decl->children.at(0)->str);
2400 fname = RTLIL::unescape_id(dpi_decl->children.at(1)->str);
2401
2402 for (int i = 2; i < GetSize(dpi_decl->children); i++)
2403 {
2404 if (i-2 >= GetSize(children))
2405 log_file_error(filename, location.first_line, "Insufficient number of arguments in DPI function call.\n");
2406
2407 argtypes.push_back(RTLIL::unescape_id(dpi_decl->children.at(i)->str));
2408 args.push_back(children.at(i-2)->clone());
2409 while (args.back()->simplify(true, false, false, stage, -1, false, true)) { }
2410
2411 if (args.back()->type != AST_CONSTANT && args.back()->type != AST_REALVALUE)
2412 log_file_error(filename, location.first_line, "Failed to evaluate DPI function with non-constant argument.\n");
2413 }
2414
2415 newNode = dpi_call(rtype, fname, argtypes, args);
2416
2417 for (auto arg : args)
2418 delete arg;
2419
2420 goto apply_newNode;
2421 }
2422
2423 if (current_scope.count(str) == 0 || current_scope[str]->type != AST_FUNCTION)
2424 log_file_error(filename, location.first_line, "Can't resolve function name `%s'.\n", str.c_str());
2425 }
2426
2427 if (type == AST_TCALL)
2428 {
2429 if (str == "$finish" || str == "$stop")
2430 {
2431 if (!current_always || current_always->type != AST_INITIAL)
2432 log_file_error(filename, location.first_line, "System task `%s' outside initial block is unsupported.\n", str.c_str());
2433
2434 log_file_error(filename, location.first_line, "System task `%s' executed.\n", str.c_str());
2435 }
2436
2437 if (str == "\\$readmemh" || str == "\\$readmemb")
2438 {
2439 if (GetSize(children) < 2 || GetSize(children) > 4)
2440 log_file_error(filename, location.first_line, "System function %s got %d arguments, expected 2-4.\n",
2441 RTLIL::unescape_id(str).c_str(), int(children.size()));
2442
2443 AstNode *node_filename = children[0]->clone();
2444 while (node_filename->simplify(true, false, false, stage, width_hint, sign_hint, false)) { }
2445 if (node_filename->type != AST_CONSTANT)
2446 log_file_error(filename, location.first_line, "Failed to evaluate system function `%s' with non-constant 1st argument.\n", str.c_str());
2447
2448 AstNode *node_memory = children[1]->clone();
2449 while (node_memory->simplify(true, false, false, stage, width_hint, sign_hint, false)) { }
2450 if (node_memory->type != AST_IDENTIFIER || node_memory->id2ast == nullptr || node_memory->id2ast->type != AST_MEMORY)
2451 log_file_error(filename, location.first_line, "Failed to evaluate system function `%s' with non-memory 2nd argument.\n", str.c_str());
2452
2453 int start_addr = -1, finish_addr = -1;
2454
2455 if (GetSize(children) > 2) {
2456 AstNode *node_addr = children[2]->clone();
2457 while (node_addr->simplify(true, false, false, stage, width_hint, sign_hint, false)) { }
2458 if (node_addr->type != AST_CONSTANT)
2459 log_file_error(filename, location.first_line, "Failed to evaluate system function `%s' with non-constant 3rd argument.\n", str.c_str());
2460 start_addr = int(node_addr->asInt(false));
2461 }
2462
2463 if (GetSize(children) > 3) {
2464 AstNode *node_addr = children[3]->clone();
2465 while (node_addr->simplify(true, false, false, stage, width_hint, sign_hint, false)) { }
2466 if (node_addr->type != AST_CONSTANT)
2467 log_file_error(filename, location.first_line, "Failed to evaluate system function `%s' with non-constant 4th argument.\n", str.c_str());
2468 finish_addr = int(node_addr->asInt(false));
2469 }
2470
2471 bool unconditional_init = false;
2472 if (current_always->type == AST_INITIAL) {
2473 pool<AstNode*> queue;
2474 log_assert(current_always->children[0]->type == AST_BLOCK);
2475 queue.insert(current_always->children[0]);
2476 while (!unconditional_init && !queue.empty()) {
2477 pool<AstNode*> next_queue;
2478 for (auto n : queue)
2479 for (auto c : n->children) {
2480 if (c == this)
2481 unconditional_init = true;
2482 next_queue.insert(c);
2483 }
2484 next_queue.swap(queue);
2485 }
2486 }
2487
2488 newNode = readmem(str == "\\$readmemh", node_filename->bitsAsConst().decode_string(), node_memory->id2ast, start_addr, finish_addr, unconditional_init);
2489 delete node_filename;
2490 delete node_memory;
2491 goto apply_newNode;
2492 }
2493
2494 if (current_scope.count(str) == 0 || current_scope[str]->type != AST_TASK)
2495 log_file_error(filename, location.first_line, "Can't resolve task name `%s'.\n", str.c_str());
2496 }
2497
2498 AstNode *decl = current_scope[str];
2499
2500 std::stringstream sstr;
2501 sstr << "$func$" << str << "$" << filename << ":" << location.first_line << "$" << (autoidx++) << "$";
2502 std::string prefix = sstr.str();
2503
2504 bool recommend_const_eval = false;
2505 bool require_const_eval = in_param ? false : has_const_only_constructs(recommend_const_eval);
2506 if ((in_param || recommend_const_eval || require_const_eval) && !decl->attributes.count("\\via_celltype"))
2507 {
2508 bool all_args_const = true;
2509 for (auto child : children) {
2510 while (child->simplify(true, false, false, 1, -1, false, true)) { }
2511 if (child->type != AST_CONSTANT)
2512 all_args_const = false;
2513 }
2514
2515 if (all_args_const) {
2516 AstNode *func_workspace = current_scope[str]->clone();
2517 newNode = func_workspace->eval_const_function(this);
2518 delete func_workspace;
2519 goto apply_newNode;
2520 }
2521
2522 if (in_param)
2523 log_file_error(filename, location.first_line, "Non-constant function call in constant expression.\n");
2524 if (require_const_eval)
2525 log_file_error(filename, location.first_line, "Function %s can only be called with constant arguments.\n", str.c_str());
2526 }
2527
2528 size_t arg_count = 0;
2529 std::map<std::string, std::string> replace_rules;
2530 vector<AstNode*> added_mod_children;
2531 dict<std::string, AstNode*> wire_cache;
2532 vector<AstNode*> new_stmts;
2533 vector<AstNode*> output_assignments;
2534
2535 if (current_block == NULL)
2536 {
2537 log_assert(type == AST_FCALL);
2538
2539 AstNode *wire = NULL;
2540 for (auto child : decl->children)
2541 if (child->type == AST_WIRE && child->str == str)
2542 wire = child->clone();
2543 log_assert(wire != NULL);
2544
2545 wire->str = prefix + str;
2546 wire->port_id = 0;
2547 wire->is_input = false;
2548 wire->is_output = false;
2549
2550 current_ast_mod->children.push_back(wire);
2551 while (wire->simplify(true, false, false, 1, -1, false, false)) { }
2552
2553 AstNode *lvalue = new AstNode(AST_IDENTIFIER);
2554 lvalue->str = wire->str;
2555
2556 AstNode *always = new AstNode(AST_ALWAYS, new AstNode(AST_BLOCK,
2557 new AstNode(AST_ASSIGN_EQ, lvalue, clone())));
2558 always->children[0]->children[0]->was_checked = true;
2559
2560 current_ast_mod->children.push_back(always);
2561
2562 goto replace_fcall_with_id;
2563 }
2564
2565 if (decl->attributes.count("\\via_celltype"))
2566 {
2567 std::string celltype = decl->attributes.at("\\via_celltype")->asAttrConst().decode_string();
2568 std::string outport = str;
2569
2570 if (celltype.find(' ') != std::string::npos) {
2571 int pos = celltype.find(' ');
2572 outport = RTLIL::escape_id(celltype.substr(pos+1));
2573 celltype = RTLIL::escape_id(celltype.substr(0, pos));
2574 } else
2575 celltype = RTLIL::escape_id(celltype);
2576
2577 AstNode *cell = new AstNode(AST_CELL, new AstNode(AST_CELLTYPE));
2578 cell->str = prefix.substr(0, GetSize(prefix)-1);
2579 cell->children[0]->str = celltype;
2580
2581 for (auto attr : decl->attributes)
2582 if (attr.first.str().rfind("\\via_celltype_defparam_", 0) == 0)
2583 {
2584 AstNode *cell_arg = new AstNode(AST_PARASET, attr.second->clone());
2585 cell_arg->str = RTLIL::escape_id(attr.first.substr(strlen("\\via_celltype_defparam_")));
2586 cell->children.push_back(cell_arg);
2587 }
2588
2589 for (auto child : decl->children)
2590 if (child->type == AST_WIRE && (child->is_input || child->is_output || (type == AST_FCALL && child->str == str)))
2591 {
2592 AstNode *wire = child->clone();
2593 wire->str = prefix + wire->str;
2594 wire->port_id = 0;
2595 wire->is_input = false;
2596 wire->is_output = false;
2597 current_ast_mod->children.push_back(wire);
2598 while (wire->simplify(true, false, false, 1, -1, false, false)) { }
2599
2600 AstNode *wire_id = new AstNode(AST_IDENTIFIER);
2601 wire_id->str = wire->str;
2602
2603 if ((child->is_input || child->is_output) && arg_count < children.size())
2604 {
2605 AstNode *arg = children[arg_count++]->clone();
2606 AstNode *assign = child->is_input ?
2607 new AstNode(AST_ASSIGN_EQ, wire_id->clone(), arg) :
2608 new AstNode(AST_ASSIGN_EQ, arg, wire_id->clone());
2609 assign->children[0]->was_checked = true;
2610
2611 for (auto it = current_block->children.begin(); it != current_block->children.end(); it++) {
2612 if (*it != current_block_child)
2613 continue;
2614 current_block->children.insert(it, assign);
2615 break;
2616 }
2617 }
2618
2619 AstNode *cell_arg = new AstNode(AST_ARGUMENT, wire_id);
2620 cell_arg->str = child->str == str ? outport : child->str;
2621 cell->children.push_back(cell_arg);
2622 }
2623
2624 current_ast_mod->children.push_back(cell);
2625 goto replace_fcall_with_id;
2626 }
2627
2628 for (auto child : decl->children)
2629 if (child->type == AST_WIRE || child->type == AST_MEMORY || child->type == AST_PARAMETER || child->type == AST_LOCALPARAM || child->type == AST_ENUM_ITEM)
2630 {
2631 AstNode *wire = nullptr;
2632
2633 if (wire_cache.count(child->str))
2634 {
2635 wire = wire_cache.at(child->str);
2636 if (wire->children.empty()) {
2637 for (auto c : child->children)
2638 wire->children.push_back(c->clone());
2639 } else if (!child->children.empty()) {
2640 while (child->simplify(true, false, false, stage, -1, false, false)) { }
2641 if (GetSize(child->children) == GetSize(wire->children)) {
2642 for (int i = 0; i < GetSize(child->children); i++)
2643 if (*child->children.at(i) != *wire->children.at(i))
2644 goto tcall_incompatible_wires;
2645 } else {
2646 tcall_incompatible_wires:
2647 log_file_error(filename, location.first_line, "Incompatible re-declaration of wire %s.\n", child->str.c_str());
2648 }
2649 }
2650 }
2651 else
2652 {
2653 wire = child->clone();
2654 wire->str = prefix + wire->str;
2655 wire->port_id = 0;
2656 wire->is_input = false;
2657 wire->is_output = false;
2658 wire->is_reg = true;
2659 wire->attributes["\\nosync"] = AstNode::mkconst_int(1, false);
2660 if (child->type == AST_ENUM_ITEM)
2661 wire->attributes["\\enum_base_type"] = child->attributes["\\enum_base_type"];
2662
2663 wire_cache[child->str] = wire;
2664
2665 current_ast_mod->children.push_back(wire);
2666 added_mod_children.push_back(wire);
2667 }
2668
2669 if (child->type == AST_WIRE)
2670 while (wire->simplify(true, false, false, 1, -1, false, false)) { }
2671
2672 replace_rules[child->str] = wire->str;
2673 current_scope[wire->str] = wire;
2674
2675 if ((child->is_input || child->is_output) && arg_count < children.size())
2676 {
2677 AstNode *arg = children[arg_count++]->clone();
2678 AstNode *wire_id = new AstNode(AST_IDENTIFIER);
2679 wire_id->str = wire->str;
2680 AstNode *assign = child->is_input ?
2681 new AstNode(AST_ASSIGN_EQ, wire_id, arg) :
2682 new AstNode(AST_ASSIGN_EQ, arg, wire_id);
2683 assign->children[0]->was_checked = true;
2684 if (child->is_input)
2685 new_stmts.push_back(assign);
2686 else
2687 output_assignments.push_back(assign);
2688 }
2689 }
2690
2691 for (auto child : added_mod_children) {
2692 child->replace_ids(prefix, replace_rules);
2693 while (child->simplify(true, false, false, 1, -1, false, false)) { }
2694 }
2695
2696 for (auto child : decl->children)
2697 if (child->type != AST_WIRE && child->type != AST_MEMORY && child->type != AST_PARAMETER && child->type != AST_LOCALPARAM)
2698 {
2699 AstNode *stmt = child->clone();
2700 stmt->replace_ids(prefix, replace_rules);
2701 new_stmts.push_back(stmt);
2702 }
2703
2704 new_stmts.insert(new_stmts.end(), output_assignments.begin(), output_assignments.end());
2705
2706 for (auto it = current_block->children.begin(); ; it++) {
2707 log_assert(it != current_block->children.end());
2708 if (*it == current_block_child) {
2709 current_block->children.insert(it, new_stmts.begin(), new_stmts.end());
2710 break;
2711 }
2712 }
2713
2714 replace_fcall_with_id:
2715 if (type == AST_FCALL) {
2716 delete_children();
2717 type = AST_IDENTIFIER;
2718 str = prefix + str;
2719 }
2720 if (type == AST_TCALL)
2721 str = "";
2722 did_something = true;
2723 }
2724
2725 replace_fcall_later:;
2726
2727 // perform const folding when activated
2728 if (const_fold)
2729 {
2730 bool string_op;
2731 std::vector<RTLIL::State> tmp_bits;
2732 RTLIL::Const (*const_func)(const RTLIL::Const&, const RTLIL::Const&, bool, bool, int);
2733 RTLIL::Const dummy_arg;
2734
2735 switch (type)
2736 {
2737 case AST_IDENTIFIER:
2738 if (current_scope.count(str) > 0 && (current_scope[str]->type == AST_PARAMETER || current_scope[str]->type == AST_LOCALPARAM || current_scope[str]->type == AST_ENUM_ITEM)) {
2739 if (current_scope[str]->children[0]->type == AST_CONSTANT) {
2740 if (children.size() != 0 && children[0]->type == AST_RANGE && children[0]->range_valid) {
2741 std::vector<RTLIL::State> data;
2742 bool param_upto = current_scope[str]->range_valid && current_scope[str]->range_swapped;
2743 int param_offset = current_scope[str]->range_valid ? current_scope[str]->range_right : 0;
2744 int param_width = current_scope[str]->range_valid ? current_scope[str]->range_left - current_scope[str]->range_right + 1 :
2745 GetSize(current_scope[str]->children[0]->bits);
2746 int tmp_range_left = children[0]->range_left, tmp_range_right = children[0]->range_right;
2747 if (param_upto) {
2748 tmp_range_left = (param_width + 2*param_offset) - children[0]->range_right - 1;
2749 tmp_range_right = (param_width + 2*param_offset) - children[0]->range_left - 1;
2750 }
2751 for (int i = tmp_range_right; i <= tmp_range_left; i++) {
2752 int index = i - param_offset;
2753 if (0 <= index && index < param_width)
2754 data.push_back(current_scope[str]->children[0]->bits[index]);
2755 else
2756 data.push_back(RTLIL::State::Sx);
2757 }
2758 newNode = mkconst_bits(data, false);
2759 } else
2760 if (children.size() == 0)
2761 newNode = current_scope[str]->children[0]->clone();
2762 } else
2763 if (current_scope[str]->children[0]->isConst())
2764 newNode = current_scope[str]->children[0]->clone();
2765 }
2766 else if (at_zero && current_scope.count(str) > 0 && (current_scope[str]->type == AST_WIRE || current_scope[str]->type == AST_AUTOWIRE)) {
2767 newNode = mkconst_int(0, sign_hint, width_hint);
2768 }
2769 break;
2770 case AST_BIT_NOT:
2771 if (children[0]->type == AST_CONSTANT) {
2772 RTLIL::Const y = RTLIL::const_not(children[0]->bitsAsConst(width_hint, sign_hint), dummy_arg, sign_hint, false, width_hint);
2773 newNode = mkconst_bits(y.bits, sign_hint);
2774 }
2775 break;
2776 case AST_TO_SIGNED:
2777 case AST_TO_UNSIGNED:
2778 if (children[0]->type == AST_CONSTANT) {
2779 RTLIL::Const y = children[0]->bitsAsConst(width_hint, sign_hint);
2780 newNode = mkconst_bits(y.bits, type == AST_TO_SIGNED);
2781 }
2782 break;
2783 if (0) { case AST_BIT_AND: const_func = RTLIL::const_and; }
2784 if (0) { case AST_BIT_OR: const_func = RTLIL::const_or; }
2785 if (0) { case AST_BIT_XOR: const_func = RTLIL::const_xor; }
2786 if (0) { case AST_BIT_XNOR: const_func = RTLIL::const_xnor; }
2787 if (children[0]->type == AST_CONSTANT && children[1]->type == AST_CONSTANT) {
2788 RTLIL::Const y = const_func(children[0]->bitsAsConst(width_hint, sign_hint),
2789 children[1]->bitsAsConst(width_hint, sign_hint), sign_hint, sign_hint, width_hint);
2790 newNode = mkconst_bits(y.bits, sign_hint);
2791 }
2792 break;
2793 if (0) { case AST_REDUCE_AND: const_func = RTLIL::const_reduce_and; }
2794 if (0) { case AST_REDUCE_OR: const_func = RTLIL::const_reduce_or; }
2795 if (0) { case AST_REDUCE_XOR: const_func = RTLIL::const_reduce_xor; }
2796 if (0) { case AST_REDUCE_XNOR: const_func = RTLIL::const_reduce_xnor; }
2797 if (0) { case AST_REDUCE_BOOL: const_func = RTLIL::const_reduce_bool; }
2798 if (children[0]->type == AST_CONSTANT) {
2799 RTLIL::Const y = const_func(RTLIL::Const(children[0]->bits), dummy_arg, false, false, -1);
2800 newNode = mkconst_bits(y.bits, false);
2801 }
2802 break;
2803 case AST_LOGIC_NOT:
2804 if (children[0]->type == AST_CONSTANT) {
2805 RTLIL::Const y = RTLIL::const_logic_not(RTLIL::Const(children[0]->bits), dummy_arg, children[0]->is_signed, false, -1);
2806 newNode = mkconst_bits(y.bits, false);
2807 } else
2808 if (children[0]->isConst()) {
2809 newNode = mkconst_int(children[0]->asReal(sign_hint) == 0, false, 1);
2810 }
2811 break;
2812 if (0) { case AST_LOGIC_AND: const_func = RTLIL::const_logic_and; }
2813 if (0) { case AST_LOGIC_OR: const_func = RTLIL::const_logic_or; }
2814 if (children[0]->type == AST_CONSTANT && children[1]->type == AST_CONSTANT) {
2815 RTLIL::Const y = const_func(RTLIL::Const(children[0]->bits), RTLIL::Const(children[1]->bits),
2816 children[0]->is_signed, children[1]->is_signed, -1);
2817 newNode = mkconst_bits(y.bits, false);
2818 } else
2819 if (children[0]->isConst() && children[1]->isConst()) {
2820 if (type == AST_LOGIC_AND)
2821 newNode = mkconst_int((children[0]->asReal(sign_hint) != 0) && (children[1]->asReal(sign_hint) != 0), false, 1);
2822 else
2823 newNode = mkconst_int((children[0]->asReal(sign_hint) != 0) || (children[1]->asReal(sign_hint) != 0), false, 1);
2824 }
2825 break;
2826 if (0) { case AST_SHIFT_LEFT: const_func = RTLIL::const_shl; }
2827 if (0) { case AST_SHIFT_RIGHT: const_func = RTLIL::const_shr; }
2828 if (0) { case AST_SHIFT_SLEFT: const_func = RTLIL::const_sshl; }
2829 if (0) { case AST_SHIFT_SRIGHT: const_func = RTLIL::const_sshr; }
2830 if (0) { case AST_POW: const_func = RTLIL::const_pow; }
2831 if (children[0]->type == AST_CONSTANT && children[1]->type == AST_CONSTANT) {
2832 RTLIL::Const y = const_func(children[0]->bitsAsConst(width_hint, sign_hint),
2833 RTLIL::Const(children[1]->bits), sign_hint, type == AST_POW ? children[1]->is_signed : false, width_hint);
2834 newNode = mkconst_bits(y.bits, sign_hint);
2835 } else
2836 if (type == AST_POW && children[0]->isConst() && children[1]->isConst()) {
2837 newNode = new AstNode(AST_REALVALUE);
2838 newNode->realvalue = pow(children[0]->asReal(sign_hint), children[1]->asReal(sign_hint));
2839 }
2840 break;
2841 if (0) { case AST_LT: const_func = RTLIL::const_lt; }
2842 if (0) { case AST_LE: const_func = RTLIL::const_le; }
2843 if (0) { case AST_EQ: const_func = RTLIL::const_eq; }
2844 if (0) { case AST_NE: const_func = RTLIL::const_ne; }
2845 if (0) { case AST_EQX: const_func = RTLIL::const_eqx; }
2846 if (0) { case AST_NEX: const_func = RTLIL::const_nex; }
2847 if (0) { case AST_GE: const_func = RTLIL::const_ge; }
2848 if (0) { case AST_GT: const_func = RTLIL::const_gt; }
2849 if (children[0]->type == AST_CONSTANT && children[1]->type == AST_CONSTANT) {
2850 int cmp_width = max(children[0]->bits.size(), children[1]->bits.size());
2851 bool cmp_signed = children[0]->is_signed && children[1]->is_signed;
2852 RTLIL::Const y = const_func(children[0]->bitsAsConst(cmp_width, cmp_signed),
2853 children[1]->bitsAsConst(cmp_width, cmp_signed), cmp_signed, cmp_signed, 1);
2854 newNode = mkconst_bits(y.bits, false);
2855 } else
2856 if (children[0]->isConst() && children[1]->isConst()) {
2857 bool cmp_signed = (children[0]->type == AST_REALVALUE || children[0]->is_signed) && (children[1]->type == AST_REALVALUE || children[1]->is_signed);
2858 switch (type) {
2859 case AST_LT: newNode = mkconst_int(children[0]->asReal(cmp_signed) < children[1]->asReal(cmp_signed), false, 1); break;
2860 case AST_LE: newNode = mkconst_int(children[0]->asReal(cmp_signed) <= children[1]->asReal(cmp_signed), false, 1); break;
2861 case AST_EQ: newNode = mkconst_int(children[0]->asReal(cmp_signed) == children[1]->asReal(cmp_signed), false, 1); break;
2862 case AST_NE: newNode = mkconst_int(children[0]->asReal(cmp_signed) != children[1]->asReal(cmp_signed), false, 1); break;
2863 case AST_EQX: newNode = mkconst_int(children[0]->asReal(cmp_signed) == children[1]->asReal(cmp_signed), false, 1); break;
2864 case AST_NEX: newNode = mkconst_int(children[0]->asReal(cmp_signed) != children[1]->asReal(cmp_signed), false, 1); break;
2865 case AST_GE: newNode = mkconst_int(children[0]->asReal(cmp_signed) >= children[1]->asReal(cmp_signed), false, 1); break;
2866 case AST_GT: newNode = mkconst_int(children[0]->asReal(cmp_signed) > children[1]->asReal(cmp_signed), false, 1); break;
2867 default: log_abort();
2868 }
2869 }
2870 break;
2871 if (0) { case AST_ADD: const_func = RTLIL::const_add; }
2872 if (0) { case AST_SUB: const_func = RTLIL::const_sub; }
2873 if (0) { case AST_MUL: const_func = RTLIL::const_mul; }
2874 if (0) { case AST_DIV: const_func = RTLIL::const_div; }
2875 if (0) { case AST_MOD: const_func = RTLIL::const_mod; }
2876 if (children[0]->type == AST_CONSTANT && children[1]->type == AST_CONSTANT) {
2877 RTLIL::Const y = const_func(children[0]->bitsAsConst(width_hint, sign_hint),
2878 children[1]->bitsAsConst(width_hint, sign_hint), sign_hint, sign_hint, width_hint);
2879 newNode = mkconst_bits(y.bits, sign_hint);
2880 } else
2881 if (children[0]->isConst() && children[1]->isConst()) {
2882 newNode = new AstNode(AST_REALVALUE);
2883 switch (type) {
2884 case AST_ADD: newNode->realvalue = children[0]->asReal(sign_hint) + children[1]->asReal(sign_hint); break;
2885 case AST_SUB: newNode->realvalue = children[0]->asReal(sign_hint) - children[1]->asReal(sign_hint); break;
2886 case AST_MUL: newNode->realvalue = children[0]->asReal(sign_hint) * children[1]->asReal(sign_hint); break;
2887 case AST_DIV: newNode->realvalue = children[0]->asReal(sign_hint) / children[1]->asReal(sign_hint); break;
2888 case AST_MOD: newNode->realvalue = fmod(children[0]->asReal(sign_hint), children[1]->asReal(sign_hint)); break;
2889 default: log_abort();
2890 }
2891 }
2892 break;
2893 if (0) { case AST_POS: const_func = RTLIL::const_pos; }
2894 if (0) { case AST_NEG: const_func = RTLIL::const_neg; }
2895 if (children[0]->type == AST_CONSTANT) {
2896 RTLIL::Const y = const_func(children[0]->bitsAsConst(width_hint, sign_hint), dummy_arg, sign_hint, false, width_hint);
2897 newNode = mkconst_bits(y.bits, sign_hint);
2898 } else
2899 if (children[0]->isConst()) {
2900 newNode = new AstNode(AST_REALVALUE);
2901 if (type == AST_POS)
2902 newNode->realvalue = +children[0]->asReal(sign_hint);
2903 else
2904 newNode->realvalue = -children[0]->asReal(sign_hint);
2905 }
2906 break;
2907 case AST_TERNARY:
2908 if (children[0]->isConst())
2909 {
2910 bool found_sure_true = false;
2911 bool found_maybe_true = false;
2912
2913 if (children[0]->type == AST_CONSTANT)
2914 for (auto &bit : children[0]->bits) {
2915 if (bit == RTLIL::State::S1)
2916 found_sure_true = true;
2917 if (bit > RTLIL::State::S1)
2918 found_maybe_true = true;
2919 }
2920 else
2921 found_sure_true = children[0]->asReal(sign_hint) != 0;
2922
2923 AstNode *choice = NULL, *not_choice = NULL;
2924 if (found_sure_true)
2925 choice = children[1], not_choice = children[2];
2926 else if (!found_maybe_true)
2927 choice = children[2], not_choice = children[1];
2928
2929 if (choice != NULL) {
2930 if (choice->type == AST_CONSTANT) {
2931 int other_width_hint = width_hint;
2932 bool other_sign_hint = sign_hint, other_real = false;
2933 not_choice->detectSignWidth(other_width_hint, other_sign_hint, &other_real);
2934 if (other_real) {
2935 newNode = new AstNode(AST_REALVALUE);
2936 choice->detectSignWidth(width_hint, sign_hint);
2937 newNode->realvalue = choice->asReal(sign_hint);
2938 } else {
2939 RTLIL::Const y = choice->bitsAsConst(width_hint, sign_hint);
2940 if (choice->is_string && y.bits.size() % 8 == 0 && sign_hint == false)
2941 newNode = mkconst_str(y.bits);
2942 else
2943 newNode = mkconst_bits(y.bits, sign_hint);
2944 }
2945 } else
2946 if (choice->isConst()) {
2947 newNode = choice->clone();
2948 }
2949 } else if (children[1]->type == AST_CONSTANT && children[2]->type == AST_CONSTANT) {
2950 RTLIL::Const a = children[1]->bitsAsConst(width_hint, sign_hint);
2951 RTLIL::Const b = children[2]->bitsAsConst(width_hint, sign_hint);
2952 log_assert(a.bits.size() == b.bits.size());
2953 for (size_t i = 0; i < a.bits.size(); i++)
2954 if (a.bits[i] != b.bits[i])
2955 a.bits[i] = RTLIL::State::Sx;
2956 newNode = mkconst_bits(a.bits, sign_hint);
2957 } else if (children[1]->isConst() && children[2]->isConst()) {
2958 newNode = new AstNode(AST_REALVALUE);
2959 if (children[1]->asReal(sign_hint) == children[2]->asReal(sign_hint))
2960 newNode->realvalue = children[1]->asReal(sign_hint);
2961 else
2962 // IEEE Std 1800-2012 Sec. 11.4.11 states that the entry in Table 7-1 for
2963 // the data type in question should be returned if the ?: is ambiguous. The
2964 // value in Table 7-1 for the 'real' type is 0.0.
2965 newNode->realvalue = 0.0;
2966 }
2967 }
2968 break;
2969 case AST_CONCAT:
2970 string_op = !children.empty();
2971 for (auto it = children.begin(); it != children.end(); it++) {
2972 if ((*it)->type != AST_CONSTANT)
2973 goto not_const;
2974 if (!(*it)->is_string)
2975 string_op = false;
2976 tmp_bits.insert(tmp_bits.end(), (*it)->bits.begin(), (*it)->bits.end());
2977 }
2978 newNode = string_op ? mkconst_str(tmp_bits) : mkconst_bits(tmp_bits, false);
2979 break;
2980 case AST_REPLICATE:
2981 if (children.at(0)->type != AST_CONSTANT || children.at(1)->type != AST_CONSTANT)
2982 goto not_const;
2983 for (int i = 0; i < children[0]->bitsAsConst().as_int(); i++)
2984 tmp_bits.insert(tmp_bits.end(), children.at(1)->bits.begin(), children.at(1)->bits.end());
2985 newNode = children.at(1)->is_string ? mkconst_str(tmp_bits) : mkconst_bits(tmp_bits, false);
2986 break;
2987 default:
2988 not_const:
2989 break;
2990 }
2991 }
2992
2993 // if any of the above set 'newNode' -> use 'newNode' as template to update 'this'
2994 if (newNode) {
2995 apply_newNode:
2996 // fprintf(stderr, "----\n");
2997 // dumpAst(stderr, "- ");
2998 // newNode->dumpAst(stderr, "+ ");
2999 log_assert(newNode != NULL);
3000 newNode->filename = filename;
3001 newNode->location = location;
3002 newNode->cloneInto(this);
3003 delete newNode;
3004 did_something = true;
3005 }
3006
3007 if (!did_something)
3008 basic_prep = true;
3009
3010 recursion_counter--;
3011 return did_something;
3012 }
3013
3014 static void replace_result_wire_name_in_function(AstNode *node, std::string &from, std::string &to)
3015 {
3016 for (auto &it : node->children)
3017 replace_result_wire_name_in_function(it, from, to);
3018 if (node->str == from)
3019 node->str = to;
3020 }
3021
3022 // replace a readmem[bh] TCALL ast node with a block of memory assignments
3023 AstNode *AstNode::readmem(bool is_readmemh, std::string mem_filename, AstNode *memory, int start_addr, int finish_addr, bool unconditional_init)
3024 {
3025 int mem_width, mem_size, addr_bits;
3026 memory->meminfo(mem_width, mem_size, addr_bits);
3027
3028 AstNode *block = new AstNode(AST_BLOCK);
3029
3030 AstNode *meminit = nullptr;
3031 int next_meminit_cursor=0;
3032 vector<State> meminit_bits;
3033 int meminit_size=0;
3034
3035 std::ifstream f;
3036 f.open(mem_filename.c_str());
3037 if (f.fail()) {
3038 #ifdef _WIN32
3039 char slash = '\\';
3040 #else
3041 char slash = '/';
3042 #endif
3043 std::string path = filename.substr(0, filename.find_last_of(slash)+1);
3044 f.open(path + mem_filename.c_str());
3045 yosys_input_files.insert(path + mem_filename);
3046 } else {
3047 yosys_input_files.insert(mem_filename);
3048 }
3049 if (f.fail() || GetSize(mem_filename) == 0)
3050 log_file_error(filename, location.first_line, "Can not open file `%s` for %s.\n", mem_filename.c_str(), str.c_str());
3051
3052 log_assert(GetSize(memory->children) == 2 && memory->children[1]->type == AST_RANGE && memory->children[1]->range_valid);
3053 int range_left = memory->children[1]->range_left, range_right = memory->children[1]->range_right;
3054 int range_min = min(range_left, range_right), range_max = max(range_left, range_right);
3055
3056 if (start_addr < 0)
3057 start_addr = range_min;
3058
3059 if (finish_addr < 0)
3060 finish_addr = range_max + 1;
3061
3062 bool in_comment = false;
3063 int increment = start_addr <= finish_addr ? +1 : -1;
3064 int cursor = start_addr;
3065
3066 while (!f.eof())
3067 {
3068 std::string line, token;
3069 std::getline(f, line);
3070
3071 for (int i = 0; i < GetSize(line); i++) {
3072 if (in_comment && line.compare(i, 2, "*/") == 0) {
3073 line[i] = ' ';
3074 line[i+1] = ' ';
3075 in_comment = false;
3076 continue;
3077 }
3078 if (!in_comment && line.compare(i, 2, "/*") == 0)
3079 in_comment = true;
3080 if (in_comment)
3081 line[i] = ' ';
3082 }
3083
3084 while (1)
3085 {
3086 token = next_token(line, " \t\r\n");
3087 if (token.empty() || token.compare(0, 2, "//") == 0)
3088 break;
3089
3090 if (token[0] == '@') {
3091 token = token.substr(1);
3092 const char *nptr = token.c_str();
3093 char *endptr;
3094 cursor = strtol(nptr, &endptr, 16);
3095 if (!*nptr || *endptr)
3096 log_file_error(filename, location.first_line, "Can not parse address `%s` for %s.\n", nptr, str.c_str());
3097 continue;
3098 }
3099
3100 AstNode *value = VERILOG_FRONTEND::const2ast(stringf("%d'%c", mem_width, is_readmemh ? 'h' : 'b') + token);
3101
3102 if (unconditional_init)
3103 {
3104 if (meminit == nullptr || cursor != next_meminit_cursor)
3105 {
3106 if (meminit != nullptr) {
3107 meminit->children[1] = AstNode::mkconst_bits(meminit_bits, false);
3108 meminit->children[2] = AstNode::mkconst_int(meminit_size, false);
3109 }
3110
3111 meminit = new AstNode(AST_MEMINIT);
3112 meminit->children.push_back(AstNode::mkconst_int(cursor, false));
3113 meminit->children.push_back(nullptr);
3114 meminit->children.push_back(nullptr);
3115 meminit->str = memory->str;
3116 meminit->id2ast = memory;
3117 meminit_bits.clear();
3118 meminit_size = 0;
3119
3120 current_ast_mod->children.push_back(meminit);
3121 next_meminit_cursor = cursor;
3122 }
3123
3124 meminit_size++;
3125 next_meminit_cursor++;
3126 meminit_bits.insert(meminit_bits.end(), value->bits.begin(), value->bits.end());
3127 delete value;
3128 }
3129 else
3130 {
3131 block->children.push_back(new AstNode(AST_ASSIGN_EQ, new AstNode(AST_IDENTIFIER, new AstNode(AST_RANGE, AstNode::mkconst_int(cursor, false))), value));
3132 block->children.back()->children[0]->str = memory->str;
3133 block->children.back()->children[0]->id2ast = memory;
3134 block->children.back()->children[0]->was_checked = true;
3135 }
3136
3137 cursor += increment;
3138 if ((cursor == finish_addr+increment) || (increment > 0 && cursor > range_max) || (increment < 0 && cursor < range_min))
3139 break;
3140 }
3141
3142 if ((cursor == finish_addr+increment) || (increment > 0 && cursor > range_max) || (increment < 0 && cursor < range_min))
3143 break;
3144 }
3145
3146 if (meminit != nullptr) {
3147 meminit->children[1] = AstNode::mkconst_bits(meminit_bits, false);
3148 meminit->children[2] = AstNode::mkconst_int(meminit_size, false);
3149 }
3150
3151 return block;
3152 }
3153
3154 // annotate the names of all wires and other named objects in a generate block
3155 void AstNode::expand_genblock(std::string index_var, std::string prefix, std::map<std::string, std::string> &name_map)
3156 {
3157 if (!index_var.empty() && type == AST_IDENTIFIER && str == index_var) {
3158 if (children.empty()) {
3159 current_scope[index_var]->children[0]->cloneInto(this);
3160 } else {
3161 AstNode *p = new AstNode(AST_LOCALPARAM, current_scope[index_var]->children[0]->clone());
3162 p->str = stringf("$genval$%d", autoidx++);
3163 current_ast_mod->children.push_back(p);
3164 str = p->str;
3165 id2ast = p;
3166 }
3167 }
3168
3169 if ((type == AST_IDENTIFIER || type == AST_FCALL || type == AST_TCALL || type == AST_WIRETYPE) && name_map.count(str) > 0)
3170 str = name_map[str];
3171
3172 std::map<std::string, std::string> backup_name_map;
3173
3174 for (size_t i = 0; i < children.size(); i++) {
3175 AstNode *child = children[i];
3176 if (child->type == AST_WIRE || child->type == AST_MEMORY || child->type == AST_PARAMETER || child->type == AST_LOCALPARAM ||
3177 child->type == AST_FUNCTION || child->type == AST_TASK || child->type == AST_CELL || child->type == AST_TYPEDEF || child->type == AST_ENUM_ITEM) {
3178 if (backup_name_map.size() == 0)
3179 backup_name_map = name_map;
3180 std::string new_name = prefix[0] == '\\' ? prefix.substr(1) : prefix;
3181 size_t pos = child->str.rfind('.');
3182 if (pos == std::string::npos)
3183 pos = child->str[0] == '\\' && prefix[0] == '\\' ? 1 : 0;
3184 else
3185 pos = pos + 1;
3186 new_name = child->str.substr(0, pos) + new_name + child->str.substr(pos);
3187 if (new_name[0] != '$' && new_name[0] != '\\')
3188 new_name = prefix[0] + new_name;
3189 name_map[child->str] = new_name;
3190 if (child->type == AST_FUNCTION)
3191 replace_result_wire_name_in_function(child, child->str, new_name);
3192 else
3193 child->str = new_name;
3194 current_scope[new_name] = child;
3195 }
3196 if (child->type == AST_ENUM){
3197 current_scope[child->str] = child;
3198 for (auto enode : child->children){
3199 log_assert(enode->type == AST_ENUM_ITEM);
3200 if (backup_name_map.size() == 0)
3201 backup_name_map = name_map;
3202 std::string new_name = prefix[0] == '\\' ? prefix.substr(1) : prefix;
3203 size_t pos = enode->str.rfind('.');
3204 if (pos == std::string::npos)
3205 pos = enode->str[0] == '\\' && prefix[0] == '\\' ? 1 : 0;
3206 else
3207 pos = pos + 1;
3208 new_name = enode->str.substr(0, pos) + new_name + enode->str.substr(pos);
3209 if (new_name[0] != '$' && new_name[0] != '\\')
3210 new_name = prefix[0] + new_name;
3211 name_map[enode->str] = new_name;
3212
3213 enode->str = new_name;
3214 current_scope[new_name] = enode;
3215 }
3216 }
3217 }
3218
3219 for (size_t i = 0; i < children.size(); i++) {
3220 AstNode *child = children[i];
3221 // AST_PREFIX member names should not be prefixed; a nested AST_PREFIX
3222 // still needs to recursed-into
3223 if (type == AST_PREFIX && i == 1 && child->type == AST_IDENTIFIER)
3224 continue;
3225 if (child->type != AST_FUNCTION && child->type != AST_TASK)
3226 child->expand_genblock(index_var, prefix, name_map);
3227 }
3228
3229
3230 if (backup_name_map.size() > 0)
3231 name_map.swap(backup_name_map);
3232 }
3233
3234 // rename stuff (used when tasks of functions are instantiated)
3235 void AstNode::replace_ids(const std::string &prefix, const std::map<std::string, std::string> &rules)
3236 {
3237 if (type == AST_BLOCK)
3238 {
3239 std::map<std::string, std::string> new_rules = rules;
3240 std::string new_prefix = prefix + str;
3241
3242 for (auto child : children)
3243 if (child->type == AST_WIRE) {
3244 new_rules[child->str] = new_prefix + child->str;
3245 child->str = new_prefix + child->str;
3246 }
3247
3248 for (auto child : children)
3249 if (child->type != AST_WIRE)
3250 child->replace_ids(new_prefix, new_rules);
3251 }
3252 else
3253 {
3254 if (type == AST_IDENTIFIER && rules.count(str) > 0)
3255 str = rules.at(str);
3256 for (auto child : children)
3257 child->replace_ids(prefix, rules);
3258 }
3259 }
3260
3261 // helper function for mem2reg_as_needed_pass1
3262 static void mark_memories_assign_lhs_complex(dict<AstNode*, pool<std::string>> &mem2reg_places,
3263 dict<AstNode*, uint32_t> &mem2reg_candidates, AstNode *that)
3264 {
3265 for (auto &child : that->children)
3266 mark_memories_assign_lhs_complex(mem2reg_places, mem2reg_candidates, child);
3267
3268 if (that->type == AST_IDENTIFIER && that->id2ast && that->id2ast->type == AST_MEMORY) {
3269 AstNode *mem = that->id2ast;
3270 if (!(mem2reg_candidates[mem] & AstNode::MEM2REG_FL_CMPLX_LHS))
3271 mem2reg_places[mem].insert(stringf("%s:%d", that->filename.c_str(), that->location.first_line));
3272 mem2reg_candidates[mem] |= AstNode::MEM2REG_FL_CMPLX_LHS;
3273 }
3274 }
3275
3276 // find memories that should be replaced by registers
3277 void AstNode::mem2reg_as_needed_pass1(dict<AstNode*, pool<std::string>> &mem2reg_places,
3278 dict<AstNode*, uint32_t> &mem2reg_candidates, dict<AstNode*, uint32_t> &proc_flags, uint32_t &flags)
3279 {
3280 uint32_t children_flags = 0;
3281 int lhs_children_counter = 0;
3282
3283 if (type == AST_TYPEDEF)
3284 return; // don't touch content of typedefs
3285
3286 if (type == AST_ASSIGN || type == AST_ASSIGN_LE || type == AST_ASSIGN_EQ)
3287 {
3288 // mark all memories that are used in a complex expression on the left side of an assignment
3289 for (auto &lhs_child : children[0]->children)
3290 mark_memories_assign_lhs_complex(mem2reg_places, mem2reg_candidates, lhs_child);
3291
3292 if (children[0]->type == AST_IDENTIFIER && children[0]->id2ast && children[0]->id2ast->type == AST_MEMORY)
3293 {
3294 AstNode *mem = children[0]->id2ast;
3295
3296 // activate mem2reg if this is assigned in an async proc
3297 if (flags & AstNode::MEM2REG_FL_ASYNC) {
3298 if (!(mem2reg_candidates[mem] & AstNode::MEM2REG_FL_SET_ASYNC))
3299 mem2reg_places[mem].insert(stringf("%s:%d", filename.c_str(), location.first_line));
3300 mem2reg_candidates[mem] |= AstNode::MEM2REG_FL_SET_ASYNC;
3301 }
3302
3303 // remember if this is assigned blocking (=)
3304 if (type == AST_ASSIGN_EQ) {
3305 if (!(proc_flags[mem] & AstNode::MEM2REG_FL_EQ1))
3306 mem2reg_places[mem].insert(stringf("%s:%d", filename.c_str(), location.first_line));
3307 proc_flags[mem] |= AstNode::MEM2REG_FL_EQ1;
3308 }
3309
3310 // for proper (non-init) writes: remember if this is a constant index or not
3311 if ((flags & MEM2REG_FL_INIT) == 0) {
3312 if (children[0]->children.size() && children[0]->children[0]->type == AST_RANGE && children[0]->children[0]->children.size()) {
3313 if (children[0]->children[0]->children[0]->type == AST_CONSTANT)
3314 mem2reg_candidates[mem] |= AstNode::MEM2REG_FL_CONST_LHS;
3315 else
3316 mem2reg_candidates[mem] |= AstNode::MEM2REG_FL_VAR_LHS;
3317 }
3318 }
3319
3320 // remember where this is
3321 if (flags & MEM2REG_FL_INIT) {
3322 if (!(mem2reg_candidates[mem] & AstNode::MEM2REG_FL_SET_INIT))
3323 mem2reg_places[mem].insert(stringf("%s:%d", filename.c_str(), location.first_line));
3324 mem2reg_candidates[mem] |= AstNode::MEM2REG_FL_SET_INIT;
3325 } else {
3326 if (!(mem2reg_candidates[mem] & AstNode::MEM2REG_FL_SET_ELSE))
3327 mem2reg_places[mem].insert(stringf("%s:%d", filename.c_str(), location.first_line));
3328 mem2reg_candidates[mem] |= AstNode::MEM2REG_FL_SET_ELSE;
3329 }
3330 }
3331
3332 lhs_children_counter = 1;
3333 }
3334
3335 if (type == AST_IDENTIFIER && id2ast && id2ast->type == AST_MEMORY)
3336 {
3337 AstNode *mem = id2ast;
3338
3339 // flag if used after blocking assignment (in same proc)
3340 if ((proc_flags[mem] & AstNode::MEM2REG_FL_EQ1) && !(mem2reg_candidates[mem] & AstNode::MEM2REG_FL_EQ2)) {
3341 mem2reg_places[mem].insert(stringf("%s:%d", filename.c_str(), location.first_line));
3342 mem2reg_candidates[mem] |= AstNode::MEM2REG_FL_EQ2;
3343 }
3344 }
3345
3346 // also activate if requested, either by using mem2reg attribute or by declaring array as 'wire' instead of 'reg'
3347 if (type == AST_MEMORY && (get_bool_attribute("\\mem2reg") || (flags & AstNode::MEM2REG_FL_ALL) || !is_reg))
3348 mem2reg_candidates[this] |= AstNode::MEM2REG_FL_FORCED;
3349
3350 if (type == AST_MODULE && get_bool_attribute("\\mem2reg"))
3351 children_flags |= AstNode::MEM2REG_FL_ALL;
3352
3353 dict<AstNode*, uint32_t> *proc_flags_p = NULL;
3354
3355 if (type == AST_ALWAYS) {
3356 int count_edge_events = 0;
3357 for (auto child : children)
3358 if (child->type == AST_POSEDGE || child->type == AST_NEGEDGE)
3359 count_edge_events++;
3360 if (count_edge_events != 1)
3361 children_flags |= AstNode::MEM2REG_FL_ASYNC;
3362 proc_flags_p = new dict<AstNode*, uint32_t>;
3363 }
3364
3365 if (type == AST_INITIAL) {
3366 children_flags |= AstNode::MEM2REG_FL_INIT;
3367 proc_flags_p = new dict<AstNode*, uint32_t>;
3368 }
3369
3370 uint32_t backup_flags = flags;
3371 flags |= children_flags;
3372 log_assert((flags & ~0x000000ff) == 0);
3373
3374 for (auto child : children)
3375 {
3376 if (lhs_children_counter > 0) {
3377 lhs_children_counter--;
3378 if (child->children.size() && child->children[0]->type == AST_RANGE && child->children[0]->children.size()) {
3379 for (auto c : child->children[0]->children) {
3380 if (proc_flags_p)
3381 c->mem2reg_as_needed_pass1(mem2reg_places, mem2reg_candidates, *proc_flags_p, flags);
3382 else
3383 c->mem2reg_as_needed_pass1(mem2reg_places, mem2reg_candidates, proc_flags, flags);
3384 }
3385 }
3386 } else
3387 if (proc_flags_p)
3388 child->mem2reg_as_needed_pass1(mem2reg_places, mem2reg_candidates, *proc_flags_p, flags);
3389 else
3390 child->mem2reg_as_needed_pass1(mem2reg_places, mem2reg_candidates, proc_flags, flags);
3391 }
3392
3393 flags &= ~children_flags | backup_flags;
3394
3395 if (proc_flags_p) {
3396 #ifndef NDEBUG
3397 for (auto it : *proc_flags_p)
3398 log_assert((it.second & ~0xff000000) == 0);
3399 #endif
3400 delete proc_flags_p;
3401 }
3402 }
3403
3404 bool AstNode::mem2reg_check(pool<AstNode*> &mem2reg_set)
3405 {
3406 if (type != AST_IDENTIFIER || !id2ast || !mem2reg_set.count(id2ast))
3407 return false;
3408
3409 if (children.empty() || children[0]->type != AST_RANGE || GetSize(children[0]->children) != 1)
3410 log_file_error(filename, location.first_line, "Invalid array access.\n");
3411
3412 return true;
3413 }
3414
3415 void AstNode::mem2reg_remove(pool<AstNode*> &mem2reg_set, vector<AstNode*> &delnodes)
3416 {
3417 log_assert(mem2reg_set.count(this) == 0);
3418
3419 if (mem2reg_set.count(id2ast))
3420 id2ast = nullptr;
3421
3422 for (size_t i = 0; i < children.size(); i++) {
3423 if (mem2reg_set.count(children[i]) > 0) {
3424 delnodes.push_back(children[i]);
3425 children.erase(children.begin() + (i--));
3426 } else {
3427 children[i]->mem2reg_remove(mem2reg_set, delnodes);
3428 }
3429 }
3430 }
3431
3432 // actually replace memories with registers
3433 bool AstNode::mem2reg_as_needed_pass2(pool<AstNode*> &mem2reg_set, AstNode *mod, AstNode *block, AstNode *&async_block)
3434 {
3435 bool did_something = false;
3436
3437 if (type == AST_BLOCK)
3438 block = this;
3439
3440 if (type == AST_FUNCTION || type == AST_TASK)
3441 return false;
3442
3443 if (type == AST_TYPEDEF)
3444 return false;
3445
3446 if (type == AST_MEMINIT && id2ast && mem2reg_set.count(id2ast))
3447 {
3448 log_assert(children[0]->type == AST_CONSTANT);
3449 log_assert(children[1]->type == AST_CONSTANT);
3450 log_assert(children[2]->type == AST_CONSTANT);
3451
3452 int cursor = children[0]->asInt(false);
3453 Const data = children[1]->bitsAsConst();
3454 int length = children[2]->asInt(false);
3455
3456 if (length != 0)
3457 {
3458 AstNode *block = new AstNode(AST_INITIAL, new AstNode(AST_BLOCK));
3459 mod->children.push_back(block);
3460 block = block->children[0];
3461
3462 int wordsz = GetSize(data) / length;
3463
3464 for (int i = 0; i < length; i++) {
3465 block->children.push_back(new AstNode(AST_ASSIGN_EQ, new AstNode(AST_IDENTIFIER, new AstNode(AST_RANGE, AstNode::mkconst_int(cursor+i, false))), mkconst_bits(data.extract(i*wordsz, wordsz).bits, false)));
3466 block->children.back()->children[0]->str = str;
3467 block->children.back()->children[0]->id2ast = id2ast;
3468 block->children.back()->children[0]->was_checked = true;
3469 }
3470 }
3471
3472 AstNode *newNode = new AstNode(AST_NONE);
3473 newNode->cloneInto(this);
3474 delete newNode;
3475
3476 did_something = true;
3477 }
3478
3479 if (type == AST_ASSIGN && block == NULL && children[0]->mem2reg_check(mem2reg_set))
3480 {
3481 if (async_block == NULL) {
3482 async_block = new AstNode(AST_ALWAYS, new AstNode(AST_BLOCK));
3483 mod->children.push_back(async_block);
3484 }
3485
3486 AstNode *newNode = clone();
3487 newNode->type = AST_ASSIGN_EQ;
3488 newNode->children[0]->was_checked = true;
3489 async_block->children[0]->children.push_back(newNode);
3490
3491 newNode = new AstNode(AST_NONE);
3492 newNode->cloneInto(this);
3493 delete newNode;
3494
3495 did_something = true;
3496 }
3497
3498 if ((type == AST_ASSIGN_LE || type == AST_ASSIGN_EQ) && children[0]->mem2reg_check(mem2reg_set) &&
3499 children[0]->children[0]->children[0]->type != AST_CONSTANT)
3500 {
3501 std::stringstream sstr;
3502 sstr << "$mem2reg_wr$" << children[0]->str << "$" << filename << ":" << location.first_line << "$" << (autoidx++);
3503 std::string id_addr = sstr.str() + "_ADDR", id_data = sstr.str() + "_DATA";
3504
3505 int mem_width, mem_size, addr_bits;
3506 bool mem_signed = children[0]->id2ast->is_signed;
3507 children[0]->id2ast->meminfo(mem_width, mem_size, addr_bits);
3508
3509 AstNode *wire_addr = new AstNode(AST_WIRE, new AstNode(AST_RANGE, mkconst_int(addr_bits-1, true), mkconst_int(0, true)));
3510 wire_addr->str = id_addr;
3511 wire_addr->is_reg = true;
3512 wire_addr->was_checked = true;
3513 wire_addr->attributes["\\nosync"] = AstNode::mkconst_int(1, false);
3514 mod->children.push_back(wire_addr);
3515 while (wire_addr->simplify(true, false, false, 1, -1, false, false)) { }
3516
3517 AstNode *wire_data = new AstNode(AST_WIRE, new AstNode(AST_RANGE, mkconst_int(mem_width-1, true), mkconst_int(0, true)));
3518 wire_data->str = id_data;
3519 wire_data->is_reg = true;
3520 wire_data->was_checked = true;
3521 wire_data->is_signed = mem_signed;
3522 wire_data->attributes["\\nosync"] = AstNode::mkconst_int(1, false);
3523 mod->children.push_back(wire_data);
3524 while (wire_data->simplify(true, false, false, 1, -1, false, false)) { }
3525
3526 log_assert(block != NULL);
3527 size_t assign_idx = 0;
3528 while (assign_idx < block->children.size() && block->children[assign_idx] != this)
3529 assign_idx++;
3530 log_assert(assign_idx < block->children.size());
3531
3532 AstNode *assign_addr = new AstNode(AST_ASSIGN_EQ, new AstNode(AST_IDENTIFIER), children[0]->children[0]->children[0]->clone());
3533 assign_addr->children[0]->str = id_addr;
3534 assign_addr->children[0]->was_checked = true;
3535 block->children.insert(block->children.begin()+assign_idx+1, assign_addr);
3536
3537 AstNode *case_node = new AstNode(AST_CASE, new AstNode(AST_IDENTIFIER));
3538 case_node->children[0]->str = id_addr;
3539 for (int i = 0; i < mem_size; i++) {
3540 if (children[0]->children[0]->children[0]->type == AST_CONSTANT && int(children[0]->children[0]->children[0]->integer) != i)
3541 continue;
3542 AstNode *cond_node = new AstNode(AST_COND, AstNode::mkconst_int(i, false, addr_bits), new AstNode(AST_BLOCK));
3543 AstNode *assign_reg = new AstNode(type, new AstNode(AST_IDENTIFIER), new AstNode(AST_IDENTIFIER));
3544 if (children[0]->children.size() == 2)
3545 assign_reg->children[0]->children.push_back(children[0]->children[1]->clone());
3546 assign_reg->children[0]->str = stringf("%s[%d]", children[0]->str.c_str(), i);
3547 assign_reg->children[1]->str = id_data;
3548 cond_node->children[1]->children.push_back(assign_reg);
3549 case_node->children.push_back(cond_node);
3550 }
3551 block->children.insert(block->children.begin()+assign_idx+2, case_node);
3552
3553 children[0]->delete_children();
3554 children[0]->range_valid = false;
3555 children[0]->id2ast = NULL;
3556 children[0]->str = id_data;
3557 type = AST_ASSIGN_EQ;
3558 children[0]->was_checked = true;
3559
3560 did_something = true;
3561 }
3562
3563 if (mem2reg_check(mem2reg_set))
3564 {
3565 AstNode *bit_part_sel = NULL;
3566 if (children.size() == 2)
3567 bit_part_sel = children[1]->clone();
3568
3569 if (children[0]->children[0]->type == AST_CONSTANT)
3570 {
3571 int id = children[0]->children[0]->integer;
3572 str = stringf("%s[%d]", str.c_str(), id);
3573
3574 delete_children();
3575 range_valid = false;
3576 id2ast = NULL;
3577 }
3578 else
3579 {
3580 std::stringstream sstr;
3581 sstr << "$mem2reg_rd$" << str << "$" << filename << ":" << location.first_line << "$" << (autoidx++);
3582 std::string id_addr = sstr.str() + "_ADDR", id_data = sstr.str() + "_DATA";
3583
3584 int mem_width, mem_size, addr_bits;
3585 bool mem_signed = id2ast->is_signed;
3586 id2ast->meminfo(mem_width, mem_size, addr_bits);
3587
3588 AstNode *wire_addr = new AstNode(AST_WIRE, new AstNode(AST_RANGE, mkconst_int(addr_bits-1, true), mkconst_int(0, true)));
3589 wire_addr->str = id_addr;
3590 wire_addr->is_reg = true;
3591 wire_addr->was_checked = true;
3592 if (block)
3593 wire_addr->attributes["\\nosync"] = AstNode::mkconst_int(1, false);
3594 mod->children.push_back(wire_addr);
3595 while (wire_addr->simplify(true, false, false, 1, -1, false, false)) { }
3596
3597 AstNode *wire_data = new AstNode(AST_WIRE, new AstNode(AST_RANGE, mkconst_int(mem_width-1, true), mkconst_int(0, true)));
3598 wire_data->str = id_data;
3599 wire_data->is_reg = true;
3600 wire_data->was_checked = true;
3601 wire_data->is_signed = mem_signed;
3602 if (block)
3603 wire_data->attributes["\\nosync"] = AstNode::mkconst_int(1, false);
3604 mod->children.push_back(wire_data);
3605 while (wire_data->simplify(true, false, false, 1, -1, false, false)) { }
3606
3607 AstNode *assign_addr = new AstNode(block ? AST_ASSIGN_EQ : AST_ASSIGN, new AstNode(AST_IDENTIFIER), children[0]->children[0]->clone());
3608 assign_addr->children[0]->str = id_addr;
3609 assign_addr->children[0]->was_checked = true;
3610
3611 AstNode *case_node = new AstNode(AST_CASE, new AstNode(AST_IDENTIFIER));
3612 case_node->children[0]->str = id_addr;
3613
3614 for (int i = 0; i < mem_size; i++) {
3615 if (children[0]->children[0]->type == AST_CONSTANT && int(children[0]->children[0]->integer) != i)
3616 continue;
3617 AstNode *cond_node = new AstNode(AST_COND, AstNode::mkconst_int(i, false, addr_bits), new AstNode(AST_BLOCK));
3618 AstNode *assign_reg = new AstNode(AST_ASSIGN_EQ, new AstNode(AST_IDENTIFIER), new AstNode(AST_IDENTIFIER));
3619 assign_reg->children[0]->str = id_data;
3620 assign_reg->children[0]->was_checked = true;
3621 assign_reg->children[1]->str = stringf("%s[%d]", str.c_str(), i);
3622 cond_node->children[1]->children.push_back(assign_reg);
3623 case_node->children.push_back(cond_node);
3624 }
3625
3626 std::vector<RTLIL::State> x_bits;
3627 for (int i = 0; i < mem_width; i++)
3628 x_bits.push_back(RTLIL::State::Sx);
3629
3630 AstNode *cond_node = new AstNode(AST_COND, new AstNode(AST_DEFAULT), new AstNode(AST_BLOCK));
3631 AstNode *assign_reg = new AstNode(AST_ASSIGN_EQ, new AstNode(AST_IDENTIFIER), AstNode::mkconst_bits(x_bits, false));
3632 assign_reg->children[0]->str = id_data;
3633 assign_reg->children[0]->was_checked = true;
3634 cond_node->children[1]->children.push_back(assign_reg);
3635 case_node->children.push_back(cond_node);
3636
3637 if (block)
3638 {
3639 size_t assign_idx = 0;
3640 while (assign_idx < block->children.size() && !block->children[assign_idx]->contains(this))
3641 assign_idx++;
3642 log_assert(assign_idx < block->children.size());
3643 block->children.insert(block->children.begin()+assign_idx, case_node);
3644 block->children.insert(block->children.begin()+assign_idx, assign_addr);
3645 }
3646 else
3647 {
3648 AstNode *proc = new AstNode(AST_ALWAYS, new AstNode(AST_BLOCK));
3649 proc->children[0]->children.push_back(case_node);
3650 mod->children.push_back(proc);
3651 mod->children.push_back(assign_addr);
3652 }
3653
3654 delete_children();
3655 range_valid = false;
3656 id2ast = NULL;
3657 str = id_data;
3658 }
3659
3660 if (bit_part_sel)
3661 children.push_back(bit_part_sel);
3662
3663 did_something = true;
3664 }
3665
3666 log_assert(id2ast == NULL || mem2reg_set.count(id2ast) == 0);
3667
3668 auto children_list = children;
3669 for (size_t i = 0; i < children_list.size(); i++)
3670 if (children_list[i]->mem2reg_as_needed_pass2(mem2reg_set, mod, block, async_block))
3671 did_something = true;
3672
3673 return did_something;
3674 }
3675
3676 // calculate memory dimensions
3677 void AstNode::meminfo(int &mem_width, int &mem_size, int &addr_bits)
3678 {
3679 log_assert(type == AST_MEMORY);
3680
3681 mem_width = children[0]->range_left - children[0]->range_right + 1;
3682 mem_size = children[1]->range_left - children[1]->range_right;
3683
3684 if (mem_size < 0)
3685 mem_size *= -1;
3686 mem_size += min(children[1]->range_left, children[1]->range_right) + 1;
3687
3688 addr_bits = 1;
3689 while ((1 << addr_bits) < mem_size)
3690 addr_bits++;
3691 }
3692
3693 bool AstNode::has_const_only_constructs(bool &recommend_const_eval)
3694 {
3695 if (type == AST_FOR)
3696 recommend_const_eval = true;
3697 if (type == AST_WHILE || type == AST_REPEAT)
3698 return true;
3699 if (type == AST_FCALL && current_scope.count(str))
3700 if (current_scope[str]->has_const_only_constructs(recommend_const_eval))
3701 return true;
3702 for (auto child : children)
3703 if (child->AstNode::has_const_only_constructs(recommend_const_eval))
3704 return true;
3705 return false;
3706 }
3707
3708 bool AstNode::is_simple_const_expr()
3709 {
3710 if (type == AST_IDENTIFIER)
3711 return false;
3712 for (auto child : children)
3713 if (!child->is_simple_const_expr())
3714 return false;
3715 return true;
3716 }
3717
3718 // helper function for AstNode::eval_const_function()
3719 void AstNode::replace_variables(std::map<std::string, AstNode::varinfo_t> &variables, AstNode *fcall)
3720 {
3721 if (type == AST_IDENTIFIER && variables.count(str)) {
3722 int offset = variables.at(str).offset, width = variables.at(str).val.bits.size();
3723 if (!children.empty()) {
3724 if (children.size() != 1 || children.at(0)->type != AST_RANGE)
3725 log_file_error(filename, location.first_line, "Memory access in constant function is not supported\n%s:%d.%d-%d.%d: ...called from here.\n",
3726 fcall->filename.c_str(), fcall->location.first_line, fcall->location.first_column, fcall->location.last_line, fcall->location.last_column);
3727 children.at(0)->replace_variables(variables, fcall);
3728 while (simplify(true, false, false, 1, -1, false, true)) { }
3729 if (!children.at(0)->range_valid)
3730 log_file_error(filename, location.first_line, "Non-constant range\n%s:%d.%d-%d.%d: ... called from here.\n",
3731 fcall->filename.c_str(), fcall->location.first_line, fcall->location.first_column, fcall->location.last_line, fcall->location.last_column);
3732 offset = min(children.at(0)->range_left, children.at(0)->range_right);
3733 width = min(std::abs(children.at(0)->range_left - children.at(0)->range_right) + 1, width);
3734 }
3735 offset -= variables.at(str).offset;
3736 std::vector<RTLIL::State> &var_bits = variables.at(str).val.bits;
3737 std::vector<RTLIL::State> new_bits(var_bits.begin() + offset, var_bits.begin() + offset + width);
3738 AstNode *newNode = mkconst_bits(new_bits, variables.at(str).is_signed);
3739 newNode->cloneInto(this);
3740 delete newNode;
3741 return;
3742 }
3743
3744 for (auto &child : children)
3745 child->replace_variables(variables, fcall);
3746 }
3747
3748 // evaluate functions with all-const arguments
3749 AstNode *AstNode::eval_const_function(AstNode *fcall)
3750 {
3751 std::map<std::string, AstNode*> backup_scope;
3752 std::map<std::string, AstNode::varinfo_t> variables;
3753 AstNode *block = new AstNode(AST_BLOCK);
3754
3755 size_t argidx = 0;
3756 for (auto child : children)
3757 {
3758 if (child->type == AST_WIRE)
3759 {
3760 while (child->simplify(true, false, false, 1, -1, false, true)) { }
3761 if (!child->range_valid)
3762 log_file_error(child->filename, child->location.first_line, "Can't determine size of variable %s\n%s:%d.%d-%d.%d: ... called from here.\n",
3763 child->str.c_str(), fcall->filename.c_str(), fcall->location.first_line, fcall->location.first_column, fcall->location.last_line, fcall->location.last_column);
3764 variables[child->str].val = RTLIL::Const(RTLIL::State::Sx, abs(child->range_left - child->range_right)+1);
3765 variables[child->str].offset = min(child->range_left, child->range_right);
3766 variables[child->str].is_signed = child->is_signed;
3767 if (child->is_input && argidx < fcall->children.size())
3768 variables[child->str].val = fcall->children.at(argidx++)->bitsAsConst(variables[child->str].val.bits.size());
3769 backup_scope[child->str] = current_scope[child->str];
3770 current_scope[child->str] = child;
3771 continue;
3772 }
3773
3774 block->children.push_back(child->clone());
3775 }
3776
3777 log_assert(variables.count(str) != 0);
3778
3779 while (!block->children.empty())
3780 {
3781 AstNode *stmt = block->children.front();
3782
3783 #if 0
3784 log("-----------------------------------\n");
3785 for (auto &it : variables)
3786 log("%20s %40s\n", it.first.c_str(), log_signal(it.second.val));
3787 stmt->dumpAst(NULL, "stmt> ");
3788 #endif
3789
3790 if (stmt->type == AST_ASSIGN_EQ)
3791 {
3792 if (stmt->children.at(0)->type == AST_IDENTIFIER && stmt->children.at(0)->children.size() != 0 &&
3793 stmt->children.at(0)->children.at(0)->type == AST_RANGE)
3794 stmt->children.at(0)->children.at(0)->replace_variables(variables, fcall);
3795 stmt->children.at(1)->replace_variables(variables, fcall);
3796 while (stmt->simplify(true, false, false, 1, -1, false, true)) { }
3797
3798 if (stmt->type != AST_ASSIGN_EQ)
3799 continue;
3800
3801 if (stmt->children.at(1)->type != AST_CONSTANT)
3802 log_file_error(stmt->filename, stmt->location.first_line, "Non-constant expression in constant function\n%s:%d.%d-%d.%d: ... called from here. X\n",
3803 fcall->filename.c_str(), fcall->location.first_line, fcall->location.first_column, fcall->location.last_line, fcall->location.last_column);
3804
3805 if (stmt->children.at(0)->type != AST_IDENTIFIER)
3806 log_file_error(stmt->filename, stmt->location.first_line, "Unsupported composite left hand side in constant function\n%s:%d.%d-%d.%d: ... called from here.\n",
3807 fcall->filename.c_str(), fcall->location.first_line, fcall->location.first_column, fcall->location.last_line, fcall->location.last_column);
3808
3809 if (!variables.count(stmt->children.at(0)->str))
3810 log_file_error(stmt->filename, stmt->location.first_line, "Assignment to non-local variable in constant function\n%s:%d.%d-%d.%d: ... called from here.\n",
3811 fcall->filename.c_str(), fcall->location.first_line, fcall->location.first_column, fcall->location.last_line, fcall->location.last_column);
3812
3813 if (stmt->children.at(0)->children.empty()) {
3814 variables[stmt->children.at(0)->str].val = stmt->children.at(1)->bitsAsConst(variables[stmt->children.at(0)->str].val.bits.size());
3815 } else {
3816 AstNode *range = stmt->children.at(0)->children.at(0);
3817 if (!range->range_valid)
3818 log_file_error(range->filename, range->location.first_line, "Non-constant range\n%s:%d.%d-%d.%d: ... called from here.\n",
3819 fcall->filename.c_str(), fcall->location.first_line, fcall->location.first_column, fcall->location.last_line, fcall->location.last_column);
3820 int offset = min(range->range_left, range->range_right);
3821 int width = std::abs(range->range_left - range->range_right) + 1;
3822 varinfo_t &v = variables[stmt->children.at(0)->str];
3823 RTLIL::Const r = stmt->children.at(1)->bitsAsConst(v.val.bits.size());
3824 for (int i = 0; i < width; i++)
3825 v.val.bits.at(i+offset-v.offset) = r.bits.at(i);
3826 }
3827
3828 delete block->children.front();
3829 block->children.erase(block->children.begin());
3830 continue;
3831 }
3832
3833 if (stmt->type == AST_FOR)
3834 {
3835 block->children.insert(block->children.begin(), stmt->children.at(0));
3836 stmt->children.at(3)->children.push_back(stmt->children.at(2));
3837 stmt->children.erase(stmt->children.begin() + 2);
3838 stmt->children.erase(stmt->children.begin());
3839 stmt->type = AST_WHILE;
3840 continue;
3841 }
3842
3843 if (stmt->type == AST_WHILE)
3844 {
3845 AstNode *cond = stmt->children.at(0)->clone();
3846 cond->replace_variables(variables, fcall);
3847 while (cond->simplify(true, false, false, 1, -1, false, true)) { }
3848
3849 if (cond->type != AST_CONSTANT)
3850 log_file_error(stmt->filename, stmt->location.first_line, "Non-constant expression in constant function\n%s:%d.%d-%d.%d: ... called from here.\n",
3851 fcall->filename.c_str(), fcall->location.first_line, fcall->location.first_column, fcall->location.last_line, fcall->location.last_column);
3852
3853 if (cond->asBool()) {
3854 block->children.insert(block->children.begin(), stmt->children.at(1)->clone());
3855 } else {
3856 delete block->children.front();
3857 block->children.erase(block->children.begin());
3858 }
3859
3860 delete cond;
3861 continue;
3862 }
3863
3864 if (stmt->type == AST_REPEAT)
3865 {
3866 AstNode *num = stmt->children.at(0)->clone();
3867 num->replace_variables(variables, fcall);
3868 while (num->simplify(true, false, false, 1, -1, false, true)) { }
3869
3870 if (num->type != AST_CONSTANT)
3871 log_file_error(stmt->filename, stmt->location.first_line, "Non-constant expression in constant function\n%s:%d.%d-%d.%d: ... called from here.\n",
3872 fcall->filename.c_str(), fcall->location.first_line, fcall->location.first_column, fcall->location.last_line, fcall->location.last_column);
3873
3874 block->children.erase(block->children.begin());
3875 for (int i = 0; i < num->bitsAsConst().as_int(); i++)
3876 block->children.insert(block->children.begin(), stmt->children.at(1)->clone());
3877
3878 delete stmt;
3879 delete num;
3880 continue;
3881 }
3882
3883 if (stmt->type == AST_CASE)
3884 {
3885 AstNode *expr = stmt->children.at(0)->clone();
3886 expr->replace_variables(variables, fcall);
3887 while (expr->simplify(true, false, false, 1, -1, false, true)) { }
3888
3889 AstNode *sel_case = NULL;
3890 for (size_t i = 1; i < stmt->children.size(); i++)
3891 {
3892 bool found_match = false;
3893 log_assert(stmt->children.at(i)->type == AST_COND || stmt->children.at(i)->type == AST_CONDX || stmt->children.at(i)->type == AST_CONDZ);
3894
3895 if (stmt->children.at(i)->children.front()->type == AST_DEFAULT) {
3896 sel_case = stmt->children.at(i)->children.back();
3897 continue;
3898 }
3899
3900 for (size_t j = 0; j+1 < stmt->children.at(i)->children.size() && !found_match; j++)
3901 {
3902 AstNode *cond = stmt->children.at(i)->children.at(j)->clone();
3903 cond->replace_variables(variables, fcall);
3904
3905 cond = new AstNode(AST_EQ, expr->clone(), cond);
3906 while (cond->simplify(true, false, false, 1, -1, false, true)) { }
3907
3908 if (cond->type != AST_CONSTANT)
3909 log_file_error(stmt->filename, stmt->location.first_line, "Non-constant expression in constant function\n%s:%d.%d-%d.%d: ... called from here.\n",
3910 fcall->filename.c_str(), fcall->location.first_line, fcall->location.first_column, fcall->location.last_line, fcall->location.last_column);
3911
3912 found_match = cond->asBool();
3913 delete cond;
3914 }
3915
3916 if (found_match) {
3917 sel_case = stmt->children.at(i)->children.back();
3918 break;
3919 }
3920 }
3921
3922 block->children.erase(block->children.begin());
3923 if (sel_case)
3924 block->children.insert(block->children.begin(), sel_case->clone());
3925 delete stmt;
3926 delete expr;
3927 continue;
3928 }
3929
3930 if (stmt->type == AST_BLOCK)
3931 {
3932 block->children.erase(block->children.begin());
3933 block->children.insert(block->children.begin(), stmt->children.begin(), stmt->children.end());
3934 stmt->children.clear();
3935 delete stmt;
3936 continue;
3937 }
3938
3939 log_file_error(stmt->filename, stmt->location.first_line, "Unsupported language construct in constant function\n%s:%d.%d-%d.%d: ... called from here.\n",
3940 fcall->filename.c_str(), fcall->location.first_line, fcall->location.first_column, fcall->location.last_line, fcall->location.last_column);
3941 log_abort();
3942 }
3943
3944 delete block;
3945
3946 for (auto &it : backup_scope)
3947 if (it.second == NULL)
3948 current_scope.erase(it.first);
3949 else
3950 current_scope[it.first] = it.second;
3951
3952 return AstNode::mkconst_bits(variables.at(str).val.bits, variables.at(str).is_signed);
3953 }
3954
3955 void AstNode::allocateDefaultEnumValues()
3956 {
3957 log_assert(type==AST_ENUM);
3958 int last_enum_int = -1;
3959 for (auto node : children) {
3960 log_assert(node->type==AST_ENUM_ITEM);
3961 node->attributes["\\enum_base_type"] = mkconst_str(str);
3962 for (size_t i = 0; i < node->children.size(); i++) {
3963 switch (node->children[i]->type) {
3964 case AST_NONE:
3965 // replace with auto-incremented constant
3966 delete node->children[i];
3967 node->children[i] = AstNode::mkconst_int(++last_enum_int, true);
3968 break;
3969 case AST_CONSTANT:
3970 // explicit constant (or folded expression)
3971 // TODO: can't extend 'x or 'z item
3972 last_enum_int = node->children[i]->integer;
3973 break;
3974 default:
3975 // ignore ranges
3976 break;
3977 }
3978 // TODO: range check
3979 }
3980 }
3981 }
3982
3983 YOSYS_NAMESPACE_END