41d9949d6386ccd16410ec592fcf5e21123b7988
[binutils-gdb.git] / gas / config / tc-v850.c
1 /* tc-v850.c -- Assembler code for the NEC V850
2 Copyright (C) 1996, 1997 Free Software Foundation.
3
4 This file is part of GAS, the GNU Assembler.
5
6 GAS is free software; you can redistribute it and/or modify
7 it under the terms of the GNU General Public License as published by
8 the Free Software Foundation; either version 2, or (at your option)
9 any later version.
10
11 GAS is distributed in the hope that it will be useful,
12 but WITHOUT ANY WARRANTY; without even the implied warranty of
13 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
14 GNU General Public License for more details.
15
16 You should have received a copy of the GNU General Public License
17 along with GAS; see the file COPYING. If not, write to
18 the Free Software Foundation, 59 Temple Place - Suite 330,
19 Boston, MA 02111-1307, USA. */
20
21 #include <stdio.h>
22 #include <ctype.h>
23 #include "as.h"
24 #include "subsegs.h"
25 #include "opcode/v850.h"
26
27 /* sign-extend a 16-bit number */
28 #define SEXT16(x) ((((x) & 0xffff) ^ (~ 0x7fff)) + 0x8000)
29
30 /* Temporarily holds the reloc in a cons expression. */
31 static bfd_reloc_code_real_type hold_cons_reloc;
32
33 /* Set to TRUE if we want to be pedantic about signed overflows. */
34 static boolean warn_signed_overflows = FALSE;
35 static boolean warn_unsigned_overflows = FALSE;
36
37 /* Indicates the target processor type. */
38 static int machine = TARGET_MACHINE;
39
40 \f
41 /* Structure to hold information about predefined registers. */
42 struct reg_name
43 {
44 const char * name;
45 int value;
46 };
47
48 /* Generic assembler global variables which must be defined by all targets. */
49
50 /* Characters which always start a comment. */
51 const char comment_chars[] = "#";
52
53 /* Characters which start a comment at the beginning of a line. */
54 const char line_comment_chars[] = ";#";
55
56 /* Characters which may be used to separate multiple commands on a
57 single line. */
58 const char line_separator_chars[] = ";";
59
60 /* Characters which are used to indicate an exponent in a floating
61 point number. */
62 const char EXP_CHARS[] = "eE";
63
64 /* Characters which mean that a number is a floating point constant,
65 as in 0d1.0. */
66 const char FLT_CHARS[] = "dD";
67 \f
68
69 const relax_typeS md_relax_table[] = {
70 {0xff, -0x100, 2, 1},
71 {0x1fffff, -0x200000, 6, 0},
72 };
73
74
75 static segT sdata_section = NULL;
76 static segT tdata_section = NULL;
77 static segT zdata_section = NULL;
78 static segT sbss_section = NULL;
79 static segT tbss_section = NULL;
80 static segT zbss_section = NULL;
81 static segT rosdata_section = NULL;
82 static segT rozdata_section = NULL;
83
84
85 /* local functions */
86 static unsigned long v850_insert_operand
87 PARAMS ((unsigned long insn, const struct v850_operand *operand,
88 offsetT val, char *file, unsigned int line));
89
90
91 /* fixups */
92 #define MAX_INSN_FIXUPS (5)
93 struct v850_fixup
94 {
95 expressionS exp;
96 int opindex;
97 bfd_reloc_code_real_type reloc;
98 };
99 struct v850_fixup fixups[MAX_INSN_FIXUPS];
100 static int fc;
101 \f
102 void
103 v850_sdata (int ignore)
104 {
105 subseg_set (sdata_section, (subsegT) get_absolute_expression ());
106
107 demand_empty_rest_of_line ();
108 }
109
110 void
111 v850_tdata (int ignore)
112 {
113 subseg_set (tdata_section, (subsegT) get_absolute_expression ());
114
115 demand_empty_rest_of_line ();
116 }
117
118 void
119 v850_zdata (int ignore)
120 {
121 subseg_set (zdata_section, (subsegT) get_absolute_expression ());
122
123 demand_empty_rest_of_line ();
124 }
125
126 void
127 v850_sbss (int ignore)
128 {
129 subseg_set (sbss_section, (subsegT) get_absolute_expression ());
130
131 demand_empty_rest_of_line ();
132 }
133
134 void
135 v850_tbss (int ignore)
136 {
137 subseg_set (tbss_section, (subsegT) get_absolute_expression ());
138
139 demand_empty_rest_of_line ();
140 }
141
142 void
143 v850_zbss (int ignore)
144 {
145 subseg_set (zbss_section, (subsegT) get_absolute_expression ());
146
147 demand_empty_rest_of_line ();
148 }
149
150 void
151 v850_rosdata (int ignore)
152 {
153 subseg_set (rosdata_section, (subsegT) get_absolute_expression ());
154
155 demand_empty_rest_of_line ();
156 }
157
158 void
159 v850_rozdata (int ignore)
160 {
161 subseg_set (rozdata_section, (subsegT) get_absolute_expression ());
162
163 demand_empty_rest_of_line ();
164 }
165
166 static void
167 v850_section (int arg)
168 {
169 char saved_c;
170 char * ptr;
171
172 for (ptr = input_line_pointer; * ptr != '\n' && * ptr != 0; ptr ++)
173 if (* ptr == ',' && ptr[1] == '.')
174 break;
175
176 saved_c = * ptr;
177 * ptr = ';';
178
179 obj_elf_section (arg);
180
181 * ptr = saved_c;
182 }
183
184 void
185 v850_bss (int ignore)
186 {
187 register int temp = get_absolute_expression ();
188
189 obj_elf_section_change_hook();
190
191 subseg_set (bss_section, (subsegT) temp);
192
193 demand_empty_rest_of_line ();
194 }
195
196 void
197 v850_offset (int ignore)
198 {
199 int temp = get_absolute_expression ();
200
201 temp -= frag_now_fix();
202
203 if (temp > 0)
204 (void) frag_more (temp);
205
206 demand_empty_rest_of_line ();
207 }
208
209 void
210 set_machine (int number)
211 {
212 machine = number;
213 bfd_set_arch_mach (stdoutput, TARGET_ARCH, machine);
214 }
215
216 /* The target specific pseudo-ops which we support. */
217 const pseudo_typeS md_pseudo_table[] =
218 {
219 {"sdata", v850_sdata, 0},
220 {"tdata", v850_tdata, 0},
221 {"zdata", v850_zdata, 0},
222 {"sbss", v850_sbss, 0},
223 {"tbss", v850_tbss, 0},
224 {"zbss", v850_zbss, 0},
225 {"rosdata", v850_rosdata, 0},
226 {"rozdata", v850_rozdata, 0},
227 {"bss", v850_bss, 0},
228 {"offset", v850_offset, 0},
229 {"section", v850_section, 0},
230 {"word", cons, 4},
231 {"v850", set_machine, 0},
232 /* start-sanitize-v850e */
233 {"v850e", set_machine, bfd_mach_v850e},
234 /* end-sanitize-v850e */
235 /* start-sanitize-v850eq */
236 {"v850eq", set_machine, bfd_mach_v850eq},
237 /* end-sanitize-v850eq */
238 { NULL, NULL, 0}
239 };
240
241 /* Opcode hash table. */
242 static struct hash_control *v850_hash;
243
244 /* This table is sorted. Suitable for searching by a binary search. */
245 static const struct reg_name pre_defined_registers[] =
246 {
247 { "ep", 30 }, /* ep - element ptr */
248 { "gp", 4 }, /* gp - global ptr */
249 { "hp", 2 }, /* hp - handler stack ptr */
250 { "lp", 31 }, /* lp - link ptr */
251 { "r0", 0 },
252 { "r1", 1 },
253 { "r10", 10 },
254 { "r11", 11 },
255 { "r12", 12 },
256 { "r13", 13 },
257 { "r14", 14 },
258 { "r15", 15 },
259 { "r16", 16 },
260 { "r17", 17 },
261 { "r18", 18 },
262 { "r19", 19 },
263 { "r2", 2 },
264 { "r20", 20 },
265 { "r21", 21 },
266 { "r22", 22 },
267 { "r23", 23 },
268 { "r24", 24 },
269 { "r25", 25 },
270 { "r26", 26 },
271 { "r27", 27 },
272 { "r28", 28 },
273 { "r29", 29 },
274 { "r3", 3 },
275 { "r30", 30 },
276 { "r31", 31 },
277 { "r4", 4 },
278 { "r5", 5 },
279 { "r6", 6 },
280 { "r7", 7 },
281 { "r8", 8 },
282 { "r9", 9 },
283 { "sp", 3 }, /* sp - stack ptr */
284 { "tp", 5 }, /* tp - text ptr */
285 { "zero", 0 },
286 };
287 #define REG_NAME_CNT (sizeof (pre_defined_registers) / sizeof (struct reg_name))
288
289
290 static const struct reg_name system_registers[] =
291 {
292 /* start-sanitize-v850e */
293 { "ctbp", 20 },
294 { "ctpc", 16 },
295 { "ctpsw", 17 },
296 { "dbpc", 18 },
297 { "dbpsw", 19 },
298 /* end-sanitize-v850e */
299 { "ecr", 4 },
300 { "eipc", 0 },
301 { "eipsw", 1 },
302 { "fepc", 2 },
303 { "fepsw", 3 },
304 { "psw", 5 },
305 };
306 #define SYSREG_NAME_CNT (sizeof (system_registers) / sizeof (struct reg_name))
307
308 static const struct reg_name cc_names[] =
309 {
310 { "c", 0x1 },
311 { "e", 0x2 },
312 { "ge", 0xe },
313 { "gt", 0xf },
314 { "h", 0xb },
315 { "l", 0x1 },
316 { "le", 0x7 },
317 { "lt", 0x6 },
318 { "n", 0x4 },
319 { "nc", 0x9 },
320 { "ne", 0xa },
321 { "nh", 0x3 },
322 { "nl", 0x9 },
323 { "ns", 0xc },
324 { "nv", 0x8 },
325 { "nz", 0xa },
326 { "p", 0xc },
327 { "s", 0x4 },
328 { "sa", 0xd },
329 { "t", 0x5 },
330 { "v", 0x0 },
331 { "z", 0x2 },
332 };
333 #define CC_NAME_CNT (sizeof(cc_names) / sizeof(struct reg_name))
334
335 /* reg_name_search does a binary search of the given register table
336 to see if "name" is a valid regiter name. Returns the register
337 number from the array on success, or -1 on failure. */
338
339 static int
340 reg_name_search (regs, regcount, name)
341 const struct reg_name * regs;
342 int regcount;
343 const char * name;
344 {
345 int middle, low, high;
346 int cmp;
347
348 low = 0;
349 high = regcount - 1;
350
351 do
352 {
353 middle = (low + high) / 2;
354 cmp = strcasecmp (name, regs[middle].name);
355 if (cmp < 0)
356 high = middle - 1;
357 else if (cmp > 0)
358 low = middle + 1;
359 else
360 return regs[middle].value;
361 }
362 while (low <= high);
363 return -1;
364 }
365
366
367 /* Summary of register_name().
368 *
369 * in: Input_line_pointer points to 1st char of operand.
370 *
371 * out: A expressionS.
372 * The operand may have been a register: in this case, X_op == O_register,
373 * X_add_number is set to the register number, and truth is returned.
374 * Input_line_pointer->(next non-blank) char after operand, or is in
375 * its original state.
376 */
377 static boolean
378 register_name (expressionP)
379 expressionS * expressionP;
380 {
381 int reg_number;
382 char * name;
383 char * start;
384 char c;
385
386 /* Find the spelling of the operand */
387 start = name = input_line_pointer;
388
389 c = get_symbol_end ();
390
391 reg_number = reg_name_search (pre_defined_registers, REG_NAME_CNT, name);
392
393 * input_line_pointer = c; /* put back the delimiting char */
394
395 /* look to see if it's in the register table */
396 if (reg_number >= 0)
397 {
398 expressionP->X_op = O_register;
399 expressionP->X_add_number = reg_number;
400
401 /* make the rest nice */
402 expressionP->X_add_symbol = NULL;
403 expressionP->X_op_symbol = NULL;
404
405 return true;
406 }
407 else
408 {
409 /* reset the line as if we had not done anything */
410 input_line_pointer = start;
411
412 return false;
413 }
414 }
415
416 /* Summary of system_register_name().
417 *
418 * in: Input_line_pointer points to 1st char of operand.
419 *
420 * out: A expressionS.
421 * The operand may have been a register: in this case, X_op == O_register,
422 * X_add_number is set to the register number, and truth is returned.
423 * Input_line_pointer->(next non-blank) char after operand, or is in
424 * its original state.
425 */
426 static boolean
427 system_register_name (expressionP, accept_numbers)
428 expressionS * expressionP;
429 boolean accept_numbers;
430 {
431 int reg_number;
432 char * name;
433 char * start;
434 char c;
435
436 /* Find the spelling of the operand */
437 start = name = input_line_pointer;
438
439 c = get_symbol_end ();
440 reg_number = reg_name_search (system_registers, SYSREG_NAME_CNT, name);
441
442 * input_line_pointer = c; /* put back the delimiting char */
443
444 if (reg_number < 0
445 && accept_numbers)
446 {
447 input_line_pointer = start; /* reset input_line pointer */
448
449 if (isdigit (* input_line_pointer))
450 reg_number = strtol (input_line_pointer, & input_line_pointer, 10);
451
452 /* Make sure that the register number is allowable. */
453 if ( reg_number < 0
454 || reg_number > 5
455 /* start-sanitize-v850e */
456 && reg_number < 16
457 || reg_number > 20
458 /* end-sanitize-v850e */
459 )
460 {
461 reg_number = -1;
462 }
463 }
464
465 /* look to see if it's in the register table */
466 if (reg_number >= 0)
467 {
468 expressionP->X_op = O_register;
469 expressionP->X_add_number = reg_number;
470
471 /* make the rest nice */
472 expressionP->X_add_symbol = NULL;
473 expressionP->X_op_symbol = NULL;
474
475 return true;
476 }
477 else
478 {
479 /* reset the line as if we had not done anything */
480 input_line_pointer = start;
481
482 return false;
483 }
484 }
485
486 /* Summary of cc_name().
487 *
488 * in: Input_line_pointer points to 1st char of operand.
489 *
490 * out: A expressionS.
491 * The operand may have been a register: in this case, X_op == O_register,
492 * X_add_number is set to the register number, and truth is returned.
493 * Input_line_pointer->(next non-blank) char after operand, or is in
494 * its original state.
495 */
496 static boolean
497 cc_name (expressionP)
498 expressionS *expressionP;
499 {
500 int reg_number;
501 char * name;
502 char * start;
503 char c;
504
505 /* Find the spelling of the operand */
506 start = name = input_line_pointer;
507
508 c = get_symbol_end ();
509 reg_number = reg_name_search (cc_names, CC_NAME_CNT, name);
510
511 * input_line_pointer = c; /* put back the delimiting char */
512
513 /* look to see if it's in the register table */
514 if (reg_number >= 0)
515 {
516 expressionP->X_op = O_constant;
517 expressionP->X_add_number = reg_number;
518
519 /* make the rest nice */
520 expressionP->X_add_symbol = NULL;
521 expressionP->X_op_symbol = NULL;
522
523 return true;
524 }
525 else
526 {
527 /* reset the line as if we had not done anything */
528 input_line_pointer = start;
529
530 return false;
531 }
532 }
533
534 static void
535 skip_white_space (void)
536 {
537 while ( * input_line_pointer == ' '
538 || * input_line_pointer == '\t')
539 ++ input_line_pointer;
540 }
541
542 /* start-sanitize-v850e */
543 /* Summary of parse_register_list ().
544 *
545 * in: Input_line_pointer points to 1st char of a list of registers.
546 * insn is the partially constructed instruction.
547 * operand is the operand being inserted.
548 *
549 * out: True if the parse completed successfully, False otherwise.
550 * If the parse completes the correct bit fields in the
551 * instruction will be filled in.
552 *
553 * Parses register lists with the syntax:
554 *
555 * { rX }
556 * { rX, rY }
557 * { rX - rY }
558 * { rX - rY, rZ }
559 * etc
560 *
561 * and also parses constant epxressions whoes bits indicate the
562 * registers in the lists. The LSB in the expression refers to
563 * the lowest numbered permissable register in the register list,
564 * and so on upwards. System registers are considered to be very
565 * high numbers.
566 *
567 */
568 static char *
569 parse_register_list
570 (
571 unsigned long * insn,
572 const struct v850_operand * operand
573 )
574 {
575 static int type1_regs[ 32 ] = { 30, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 31, 29, 28, 23, 22, 21, 20, 27, 26, 25, 24 };
576 /* start-sanitize-v850eq */
577 static int type2_regs[ 32 ] = { 19, 18, 17, 16, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 30, 31, 29, 28, 23, 22, 21, 20, 27, 26, 25, 24 };
578 static int type3_regs[ 32 ] = { 3, 2, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 14, 15, 13, 12, 7, 6, 5, 4, 11, 10, 9, 8 };
579 /* end-sanitize-v850eq */
580 int * regs;
581 expressionS exp;
582
583
584 /* Select a register array to parse. */
585 switch (operand->shift)
586 {
587 case 0xffe00001: regs = type1_regs; break;
588 /* start-sanitize-v850eq */
589 case 0xfff8000f: regs = type2_regs; break;
590 case 0xfff8001f: regs = type3_regs; break;
591 /* end-sanitize-v850eq */
592 default:
593 as_bad ("unknown operand shift: %x\n", operand->shift );
594 return "internal failure in parse_register_list";
595 }
596
597 skip_white_space();
598
599 /* If the expression starts with a curly brace it is a register list.
600 Otherwise it is a constant expression ,whoes bits indicate which
601 registers are to be included in the list. */
602
603 if (* input_line_pointer != '{')
604 {
605 int bits;
606 int reg;
607 int i;
608
609 expression (& exp);
610
611 if (exp.X_op != O_constant)
612 return "constant expression or register list expected";
613
614 /* start-sanitize-v850eq */
615 if (regs == type1_regs)
616 /* end-sanitize-v850eq */
617 {
618 if (exp.X_add_number & 0xFFFFF000)
619 return "high bits set in register list expression";
620
621 for (reg = 20; reg < 32; reg ++)
622 if (exp.X_add_number & (1 << (reg - 20)))
623 {
624 for (i = 0; i < 32; i++)
625 if (regs[i] == reg)
626 * insn |= (1 << i);
627 }
628 }
629 /* start-sanitize-v850eq */
630 else if (regs == type2_regs)
631 {
632 if (exp.X_add_number & 0xFFFE0000)
633 return "high bits set in register list expression";
634
635 for (reg = 1; reg < 16; reg ++)
636 if (exp.X_add_number & (1 << (reg - 1)))
637 {
638 for (i = 0; i < 32; i++)
639 if (regs[i] == reg)
640 * insn |= (1 << i);
641 }
642
643 if (exp.X_add_number & (1 << 15))
644 * insn |= (1 << 3);
645
646 if (exp.X_add_number & (1 << 16))
647 * insn |= (1 << 19);
648 }
649 else /* regs == type3_regs */
650 {
651 if (exp.X_add_number & 0xFFFE0000)
652 return "high bits set in register list expression";
653
654 for (reg = 16; reg < 32; reg ++)
655 if (exp.X_add_number & (1 << (reg - 16)))
656 {
657 for (i = 0; i < 32; i++)
658 if (regs[i] == reg)
659 * insn |= (1 << i);
660 }
661
662 if (exp.X_add_number & (1 << 16))
663 * insn |= (1 << 19);
664 }
665 /* end-sanitize-v850eq */
666
667 return NULL;
668 }
669
670 input_line_pointer ++;
671
672 /* Parse the register list until a terminator (closing curly brace or new-line) is found. */
673 for (;;)
674 {
675 if (register_name (& exp))
676 {
677 int i;
678
679 /* Locate the given register in the list, and if it is there, insert the corresponding bit into the instruction. */
680 for (i = 0; i < 32; i++)
681 {
682 if (regs[ i ] == exp.X_add_number)
683 {
684 * insn |= (1 << i);
685 break;
686 }
687 }
688
689 if (i == 32)
690 {
691 return "illegal register included in list";
692 }
693 }
694 else if (system_register_name (& exp, true))
695 {
696 if (regs == type1_regs)
697 {
698 return "system registers cannot be included in list";
699 }
700 else if (exp.X_add_number == 5)
701 {
702 if (regs == type2_regs)
703 return "PSW cannot be included in list";
704 else
705 * insn |= 0x8;
706 }
707 else
708 * insn |= 0x80000;
709 }
710 else if (* input_line_pointer == '}')
711 {
712 input_line_pointer ++;
713 break;
714 }
715 else if (* input_line_pointer == ',')
716 {
717 input_line_pointer ++;
718 continue;
719 }
720 else if (* input_line_pointer == '-')
721 {
722 /* We have encountered a range of registers: rX - rY */
723 int j;
724 expressionS exp2;
725
726 /* Skip the dash. */
727 ++ input_line_pointer;
728
729 /* Get the second register in the range. */
730 if (! register_name (& exp2))
731 {
732 return "second register should follow dash in register list";
733 exp2.X_add_number = exp.X_add_number;
734 }
735
736 /* Add the rest of the registers in the range. */
737 for (j = exp.X_add_number + 1; j <= exp2.X_add_number; j++)
738 {
739 int i;
740
741 /* Locate the given register in the list, and if it is there, insert the corresponding bit into the instruction. */
742 for (i = 0; i < 32; i++)
743 {
744 if (regs[ i ] == j)
745 {
746 * insn |= (1 << i);
747 break;
748 }
749 }
750
751 if (i == 32)
752 {
753 return "illegal register included in list";
754 }
755 }
756 }
757 else
758 {
759 break;
760 }
761
762 skip_white_space();
763 }
764
765 return NULL;
766 }
767 /* end-sanitize-v850e */
768
769 CONST char * md_shortopts = "m:";
770
771 struct option md_longopts[] =
772 {
773 {NULL, no_argument, NULL, 0}
774 };
775 size_t md_longopts_size = sizeof md_longopts;
776
777
778 void
779 md_show_usage (stream)
780 FILE *stream;
781 {
782 fprintf (stream, "V850 options:\n");
783 fprintf (stream, "\t-wsigned_overflow Warn if signed immediate values overflow\n");
784 fprintf (stream, "\t-wunsigned_overflow Warn if unsigned immediate values overflow\n");
785 fprintf (stream, "\t-mv850 The code is targeted at the v850\n");
786 /* start-sanitize-v850e */
787 fprintf (stream, "\t-mv850e The code is targeted at the v850e\n");
788 /* end-sanitize-v850e */
789 /* start-sanitize-v850eq */
790 fprintf (stream, "\t-mv850eq The code is targeted at the v850eq\n");
791 /* end-sanitize-v850eq */
792 }
793
794 int
795 md_parse_option (c, arg)
796 int c;
797 char * arg;
798 {
799 switch (c)
800 {
801 case 'w':
802 if (strcmp (arg, "signed_overflow") == 0)
803 {
804 warn_signed_overflows = TRUE;
805 return 1;
806 }
807 else if (strcmp (arg, "unsigned_overflow") == 0)
808 {
809 warn_unsigned_overflows = TRUE;
810 return 1;
811 }
812 break;
813
814 case 'm':
815 if (strcmp (arg, "v850") == 0)
816 {
817 machine = 0;
818 return 1;
819 }
820 /* start-sanitize-v850e */
821 else if (strcmp (arg, "v850e") == 0)
822 {
823 machine = bfd_mach_v850e;
824 return 1;
825 }
826 /* end-sanitize-v850e */
827 /* start-sanitize-v850eq */
828 else if (strcmp (arg, "v850eq") == 0)
829 {
830 machine = bfd_mach_v850eq;
831 return 1;
832 }
833 /* end-sanitize-v850eq */
834 break;
835 }
836
837 return 0;
838 }
839
840 symbolS *
841 md_undefined_symbol (name)
842 char * name;
843 {
844 return 0;
845 }
846
847 char *
848 md_atof (type, litp, sizep)
849 int type;
850 char * litp;
851 int * sizep;
852 {
853 int prec;
854 LITTLENUM_TYPE words[4];
855 char * t;
856 int i;
857
858 switch (type)
859 {
860 case 'f':
861 prec = 2;
862 break;
863
864 case 'd':
865 prec = 4;
866 break;
867
868 default:
869 *sizep = 0;
870 return "bad call to md_atof";
871 }
872
873 t = atof_ieee (input_line_pointer, type, words);
874 if (t)
875 input_line_pointer = t;
876
877 *sizep = prec * 2;
878
879 for (i = prec - 1; i >= 0; i--)
880 {
881 md_number_to_chars (litp, (valueT) words[i], 2);
882 litp += 2;
883 }
884
885 return NULL;
886 }
887
888
889 /* Very gross. */
890 void
891 md_convert_frag (abfd, sec, fragP)
892 bfd * abfd;
893 asection * sec;
894 fragS * fragP;
895 {
896 subseg_change (sec, 0);
897 if (fragP->fr_subtype == 0)
898 {
899 fix_new (fragP, fragP->fr_fix, 2, fragP->fr_symbol,
900 fragP->fr_offset, 1, BFD_RELOC_UNUSED + (int)fragP->fr_opcode);
901 fragP->fr_var = 0;
902 fragP->fr_fix += 2;
903 }
904 else if (fragP->fr_subtype == 1)
905 {
906 /* Reverse the condition of the first branch. */
907 fragP->fr_literal[0] &= 0xf7;
908 /* Mask off all the displacement bits. */
909 fragP->fr_literal[0] &= 0x8f;
910 fragP->fr_literal[1] &= 0x07;
911 /* Now set the displacement bits so that we branch
912 around the unconditional branch. */
913 fragP->fr_literal[0] |= 0x30;
914
915 /* Now create the unconditional branch + fixup to the final
916 target. */
917 md_number_to_chars (&fragP->fr_literal[2], 0x00000780, 4);
918 fix_new (fragP, fragP->fr_fix + 2, 4, fragP->fr_symbol,
919 fragP->fr_offset, 1, BFD_RELOC_UNUSED + (int)fragP->fr_opcode + 1);
920 fragP->fr_var = 0;
921 fragP->fr_fix += 6;
922 }
923 else
924 abort ();
925 }
926
927 valueT
928 md_section_align (seg, addr)
929 asection * seg;
930 valueT addr;
931 {
932 int align = bfd_get_section_alignment (stdoutput, seg);
933 return ((addr + (1 << align) - 1) & (-1 << align));
934 }
935
936 void
937 md_begin ()
938 {
939 char * prev_name = "";
940 register const struct v850_opcode * op;
941 flagword applicable;
942
943
944 v850_hash = hash_new();
945
946 /* Insert unique names into hash table. The V850 instruction set
947 has many identical opcode names that have different opcodes based
948 on the operands. This hash table then provides a quick index to
949 the first opcode with a particular name in the opcode table. */
950
951 op = v850_opcodes;
952 while (op->name)
953 {
954 if (strcmp (prev_name, op->name))
955 {
956 prev_name = (char *) op->name;
957 hash_insert (v850_hash, op->name, (char *) op);
958 }
959 op++;
960 }
961
962 bfd_set_arch_mach (stdoutput, TARGET_ARCH, machine);
963
964 applicable = bfd_applicable_section_flags (stdoutput);
965
966 sdata_section = subseg_new (".sdata", 0);
967 bfd_set_section_flags (stdoutput, sdata_section, applicable & (SEC_ALLOC | SEC_LOAD | SEC_RELOC | SEC_DATA | SEC_HAS_CONTENTS));
968
969 tdata_section = subseg_new (".tdata", 0);
970 bfd_set_section_flags (stdoutput, tdata_section, applicable & (SEC_ALLOC | SEC_LOAD | SEC_RELOC | SEC_DATA | SEC_HAS_CONTENTS));
971
972 zdata_section = subseg_new (".zdata", 0);
973 bfd_set_section_flags (stdoutput, zdata_section, applicable & (SEC_ALLOC | SEC_LOAD | SEC_RELOC | SEC_DATA | SEC_HAS_CONTENTS));
974
975 sbss_section = subseg_new (".sbss", 0);
976 bfd_set_section_flags (stdoutput, sbss_section, applicable & SEC_ALLOC);
977
978 tbss_section = subseg_new (".tbss", 0);
979 bfd_set_section_flags (stdoutput, tbss_section, applicable & SEC_ALLOC);
980
981 zbss_section = subseg_new (".zbss", 0);
982 bfd_set_section_flags (stdoutput, zbss_section, applicable & SEC_ALLOC);
983
984 rosdata_section = subseg_new (".rosdata", 0);
985 bfd_set_section_flags (stdoutput, rosdata_section, applicable & (SEC_ALLOC | SEC_LOAD | SEC_RELOC | SEC_READONLY));
986
987 rozdata_section = subseg_new (".rozdata", 0);
988 bfd_set_section_flags (stdoutput, rozdata_section, applicable & (SEC_ALLOC | SEC_LOAD | SEC_RELOC | SEC_READONLY));
989 }
990
991
992 /* Warning: The code in this function relies upon the definitions
993 in the v850_operands[] array (defined in opcodes/v850-opc.c)
994 matching the hard coded values conatined herein. */
995
996 static bfd_reloc_code_real_type
997 v850_reloc_prefix (const struct v850_operand * operand)
998 {
999 boolean paren_skipped = false;
1000
1001
1002 /* Skip leading opening parenthesis. */
1003 if (* input_line_pointer == '(')
1004 {
1005 ++ input_line_pointer;
1006 paren_skipped = true;
1007 }
1008
1009 if (strncmp (input_line_pointer, "hi0(", 4) == 0)
1010 {
1011 input_line_pointer += 3;
1012 return BFD_RELOC_HI16;
1013 }
1014 if (strncmp (input_line_pointer, "hi(", 3) == 0)
1015 {
1016 input_line_pointer += 2;
1017 return BFD_RELOC_HI16_S;
1018 }
1019 if (strncmp (input_line_pointer, "lo(", 3) == 0)
1020 {
1021 input_line_pointer += 2;
1022 return BFD_RELOC_LO16;
1023 }
1024
1025 if (strncmp (input_line_pointer, "sdaoff(", 7) == 0)
1026 {
1027 input_line_pointer += 6;
1028
1029 if (operand == NULL) return BFD_RELOC_V850_SDA_16_16_OFFSET;
1030 if (operand->bits == 15 && operand->shift == 17) return BFD_RELOC_V850_SDA_15_16_OFFSET;
1031 /* start-sanitize-v850e */
1032 if (operand->bits == -1) return BFD_RELOC_V850_SDA_16_16_SPLIT_OFFSET;
1033 /* end-sanitize-v850e */
1034
1035 assert (operand->bits == 16);
1036 assert (operand->shift == 16);
1037
1038 return BFD_RELOC_V850_SDA_16_16_OFFSET;
1039 }
1040
1041 if (strncmp (input_line_pointer, "zdaoff(", 7) == 0)
1042 {
1043 input_line_pointer += 6;
1044
1045 if (operand == NULL) return BFD_RELOC_V850_ZDA_16_16_OFFSET;
1046 if (operand->bits == 15 && operand->shift == 17) return BFD_RELOC_V850_ZDA_15_16_OFFSET;
1047 /* start-sanitize-v850e */
1048 if (operand->bits == -1) return BFD_RELOC_V850_ZDA_16_16_SPLIT_OFFSET;
1049 /* end-sanitize-v850e */
1050
1051 assert (operand->bits == 16);
1052 assert (operand->shift == 16);
1053
1054 return BFD_RELOC_V850_ZDA_16_16_OFFSET;
1055 }
1056
1057 if (strncmp (input_line_pointer, "tdaoff(", 7) == 0)
1058 {
1059 input_line_pointer += 6;
1060
1061 if (operand == NULL) return BFD_RELOC_V850_TDA_7_7_OFFSET;
1062 if (operand->bits == 6 && operand->shift == 1) return BFD_RELOC_V850_TDA_6_8_OFFSET;
1063 /* start-sanitize-v850e */
1064 if (operand->bits == 4 && operand->insert != NULL) return BFD_RELOC_V850_TDA_4_5_OFFSET;
1065 if (operand->bits == 4 && operand->insert == NULL) return BFD_RELOC_V850_TDA_4_4_OFFSET;
1066 /* end-sanitize-v850e */
1067
1068 assert (operand->bits == 7);
1069
1070 return operand->insert != NULL ? BFD_RELOC_V850_TDA_7_8_OFFSET : BFD_RELOC_V850_TDA_7_7_OFFSET;
1071 }
1072
1073 if (paren_skipped)
1074 /* Restore skipped character. */
1075 -- input_line_pointer;
1076
1077 return BFD_RELOC_UNUSED;
1078 }
1079
1080 void
1081 md_assemble (str)
1082 char * str;
1083 {
1084 char * s;
1085 char * start_of_operands;
1086 struct v850_opcode * opcode;
1087 struct v850_opcode * next_opcode;
1088 const unsigned char * opindex_ptr;
1089 int next_opindex;
1090 int relaxable;
1091 unsigned long insn;
1092 unsigned long insn_size;
1093 char * f;
1094 int i;
1095 int match;
1096 boolean extra_data_after_insn = false;
1097 unsigned extra_data_len;
1098 unsigned long extra_data;
1099 char * saved_input_line_pointer;
1100
1101 /* Get the opcode. */
1102 for (s = str; *s != '\0' && ! isspace (*s); s++)
1103 continue;
1104
1105 if (*s != '\0')
1106 *s++ = '\0';
1107
1108 /* find the first opcode with the proper name */
1109 opcode = (struct v850_opcode *)hash_find (v850_hash, str);
1110 if (opcode == NULL)
1111 {
1112 as_bad ("Unrecognized opcode: `%s'", str);
1113 ignore_rest_of_line ();
1114 return;
1115 }
1116
1117 str = s;
1118 while (isspace (* str))
1119 ++ str;
1120
1121 start_of_operands = str;
1122
1123 saved_input_line_pointer = input_line_pointer;
1124
1125 for (;;)
1126 {
1127 const char * errmsg = NULL;
1128
1129 relaxable = 0;
1130 fc = 0;
1131 match = 0;
1132 next_opindex = 0;
1133 insn = opcode->opcode;
1134 extra_data_after_insn = false;
1135
1136 input_line_pointer = str = start_of_operands;
1137
1138 for (opindex_ptr = opcode->operands; *opindex_ptr != 0; opindex_ptr++)
1139 {
1140 const struct v850_operand * operand;
1141 char * hold;
1142 expressionS ex;
1143 bfd_reloc_code_real_type reloc;
1144
1145 if (next_opindex == 0)
1146 {
1147 operand = & v850_operands[ * opindex_ptr ];
1148 }
1149 else
1150 {
1151 operand = & v850_operands[ next_opindex ];
1152 next_opindex = 0;
1153 }
1154
1155 errmsg = NULL;
1156
1157 while (*str == ' ' || *str == ',' || *str == '[' || *str == ']')
1158 ++str;
1159
1160 if (operand->flags & V850_OPERAND_RELAX)
1161 relaxable = 1;
1162
1163 /* Gather the operand. */
1164 hold = input_line_pointer;
1165 input_line_pointer = str;
1166
1167 /* fprintf (stderr, "operand: %s index = %d, opcode = %s\n", input_line_pointer, opindex_ptr - opcode->operands, opcode->name ); */
1168
1169 /* lo(), hi(), hi0(), etc... */
1170 if ((reloc = v850_reloc_prefix (operand)) != BFD_RELOC_UNUSED)
1171 {
1172 expression (& ex);
1173
1174 if (ex.X_op == O_constant)
1175 {
1176 switch (reloc)
1177 {
1178 case BFD_RELOC_LO16:
1179 {
1180 /* Truncate, then sign extend the value. */
1181 ex.X_add_number = SEXT16 (ex.X_add_number);
1182 break;
1183 }
1184
1185 case BFD_RELOC_HI16:
1186 {
1187 /* Truncate, then sign extend the value. */
1188 ex.X_add_number = SEXT16 (ex.X_add_number >> 16);
1189 break;
1190 }
1191
1192 case BFD_RELOC_HI16_S:
1193 {
1194 /* Truncate, then sign extend the value. */
1195 int temp = (ex.X_add_number >> 16) & 0xffff;
1196
1197 temp += (ex.X_add_number >> 15) & 1;
1198
1199 ex.X_add_number = SEXT16 (temp);
1200 break;
1201 }
1202
1203 default:
1204 as_bad ( "AAARG -> unhandled constant reloc");
1205 break;
1206 }
1207
1208 insn = v850_insert_operand (insn, operand, ex.X_add_number,
1209 (char *) NULL, 0);
1210 }
1211 else
1212 {
1213 if (fc > MAX_INSN_FIXUPS)
1214 as_fatal ("too many fixups");
1215
1216 fixups[ fc ].exp = ex;
1217 fixups[ fc ].opindex = * opindex_ptr;
1218 fixups[ fc ].reloc = reloc;
1219 fc++;
1220 }
1221 }
1222 else
1223 {
1224 errmsg = NULL;
1225
1226 if ((operand->flags & V850_OPERAND_REG) != 0)
1227 {
1228 if (!register_name (& ex))
1229 {
1230 errmsg = "invalid register name";
1231 }
1232
1233 if ((operand->flags & V850_NOT_R0)
1234 && ex.X_add_number == 0)
1235 {
1236 errmsg = "register r0 cannot be used here";
1237 }
1238 }
1239 else if ((operand->flags & V850_OPERAND_SRG) != 0)
1240 {
1241 if (!system_register_name (& ex, true))
1242 {
1243 errmsg = "invalid system register name";
1244 }
1245 }
1246 else if ((operand->flags & V850_OPERAND_EP) != 0)
1247 {
1248 char * start = input_line_pointer;
1249 char c = get_symbol_end ();
1250
1251 if (strcmp (start, "ep") != 0 && strcmp (start, "r30") != 0)
1252 {
1253 /* Put things back the way we found them. */
1254 *input_line_pointer = c;
1255 input_line_pointer = start;
1256 errmsg = "expected EP register";
1257 goto error;
1258 }
1259
1260 *input_line_pointer = c;
1261 str = input_line_pointer;
1262 input_line_pointer = hold;
1263
1264 while (*str == ' ' || *str == ',' || *str == '[' || *str == ']')
1265 ++str;
1266 continue;
1267 }
1268 else if ((operand->flags & V850_OPERAND_CC) != 0)
1269 {
1270 if (!cc_name (& ex))
1271 {
1272 errmsg = "invalid condition code name";
1273 }
1274 }
1275 /* start-sanitize-v850e */
1276 else if (operand->flags & V850E_PUSH_POP)
1277 {
1278 errmsg = parse_register_list (& insn, operand);
1279
1280 /* The parse_register_list() function has already done everything, so fake a dummy expression. */
1281 ex.X_op = O_constant;
1282 ex.X_add_number = 0;
1283 }
1284 /* end-sanitize-v850e */
1285 /* start-sanitize-v850e */
1286 else if (operand->flags & V850E_IMMEDIATE16)
1287 {
1288 expression (& ex);
1289
1290 if (ex.X_op != O_constant)
1291 errmsg = "constant expression expected";
1292 else if (ex.X_add_number & 0xffff0000)
1293 {
1294 if (ex.X_add_number & 0xffff)
1295 errmsg = "constant too big to fit into instruction";
1296 else if ((insn & 0x001fffc0) == 0x00130780)
1297 ex.X_add_number >>= 16;
1298 else
1299 errmsg = "constant too big to fit into instruction";
1300 }
1301
1302 extra_data_after_insn = true;
1303 extra_data_len = 2;
1304 extra_data = ex.X_add_number;
1305 ex.X_add_number = 0;
1306 }
1307 /* end-sanitize-v850e */
1308 /* start-sanitize-v850e */
1309 else if (operand->flags & V850E_IMMEDIATE32)
1310 {
1311 expression (& ex);
1312
1313 if (ex.X_op != O_constant)
1314 errmsg = "constant expression expected";
1315
1316 extra_data_after_insn = true;
1317 extra_data_len = 4;
1318 extra_data = ex.X_add_number;
1319 ex.X_add_number = 0;
1320 }
1321 /* end-sanitize-v850e */
1322 else if (register_name (&ex)
1323 && (operand->flags & V850_OPERAND_REG) == 0)
1324 {
1325 errmsg = "syntax error: register not expected";
1326 }
1327 else if (system_register_name (& ex, false)
1328 && (operand->flags & V850_OPERAND_SRG) == 0)
1329 {
1330 errmsg = "syntax error: system register not expected";
1331 }
1332 else if (cc_name (&ex)
1333 && (operand->flags & V850_OPERAND_CC) == 0)
1334 {
1335 errmsg = "syntax error: condition code not expected";
1336 }
1337 else
1338 {
1339 expression (& ex);
1340 /* start-sanitize-v850e */
1341 /* Special case:
1342 If we are assembling a MOV instruction (or a CALLT.... :-)
1343 and the immediate value does not fit into the bits available
1344 then create a fake error so that the next MOV instruction
1345 will be selected. This one has a 32 bit immediate field. */
1346
1347 if (((insn & 0x07e0) == 0x0200)
1348 && ex.X_op == O_constant
1349 && (ex.X_add_number < (- (1 << (operand->bits - 1))) || ex.X_add_number > ((1 << operand->bits) - 1)))
1350 errmsg = "use bigger instruction";
1351 /* end-sanitize-v850e */
1352 }
1353
1354 if (errmsg)
1355 goto error;
1356
1357 /* fprintf (stderr, "insn: %x, operand %d, op: %d, add_number: %d\n", insn, opindex_ptr - opcode->operands, ex.X_op, ex.X_add_number ); */
1358
1359 switch (ex.X_op)
1360 {
1361 case O_illegal:
1362 errmsg = "illegal operand";
1363 goto error;
1364 case O_absent:
1365 errmsg = "missing operand";
1366 goto error;
1367 case O_register:
1368 if ((operand->flags & (V850_OPERAND_REG | V850_OPERAND_SRG)) == 0)
1369 {
1370 errmsg = "invalid operand";
1371 goto error;
1372 }
1373 insn = v850_insert_operand (insn, operand, ex.X_add_number,
1374 (char *) NULL, 0);
1375 break;
1376
1377 case O_constant:
1378 insn = v850_insert_operand (insn, operand, ex.X_add_number,
1379 (char *) NULL, 0);
1380 break;
1381
1382 default:
1383 /* We need to generate a fixup for this expression. */
1384 if (fc >= MAX_INSN_FIXUPS)
1385 as_fatal ("too many fixups");
1386
1387 fixups[ fc ].exp = ex;
1388 fixups[ fc ].opindex = * opindex_ptr;
1389 fixups[ fc ].reloc = BFD_RELOC_UNUSED;
1390 ++fc;
1391 break;
1392 }
1393 }
1394
1395 str = input_line_pointer;
1396 input_line_pointer = hold;
1397
1398 while (*str == ' ' || *str == ',' || *str == '[' || *str == ']'
1399 || *str == ')')
1400 ++str;
1401 }
1402 match = 1;
1403
1404 error:
1405 if (match == 0)
1406 {
1407 next_opcode = opcode + 1;
1408 if (next_opcode->name != NULL && strcmp (next_opcode->name, opcode->name) == 0)
1409 {
1410 opcode = next_opcode;
1411 continue;
1412 }
1413
1414 as_bad (errmsg);
1415 ignore_rest_of_line ();
1416 input_line_pointer = saved_input_line_pointer;
1417 return;
1418 }
1419 break;
1420 }
1421
1422 while (isspace (*str))
1423 ++str;
1424
1425 if (*str != '\0')
1426 as_bad ("junk at end of line: `%s'", str);
1427
1428 input_line_pointer = str;
1429
1430 /* Write out the instruction.
1431
1432 Four byte insns have an opcode with the two high bits on. */
1433 if (relaxable && fc > 0)
1434 {
1435 f = frag_var (rs_machine_dependent, 6, 4, 0,
1436 fixups[0].exp.X_add_symbol,
1437 fixups[0].exp.X_add_number,
1438 (char *)fixups[0].opindex);
1439 insn_size = 2;
1440 md_number_to_chars (f, insn, insn_size);
1441 md_number_to_chars (f + 2, 0, 4);
1442 fc = 0;
1443 }
1444 else
1445 {
1446 if ((insn & 0x0600) == 0x0600)
1447 insn_size = 4;
1448 else
1449 insn_size = 2;
1450
1451 /* start-sanitize-v850e */
1452 /* Special case: 32 bit MOV */
1453 if ((insn & 0xffe0) == 0x0620)
1454 insn_size = 2;
1455 /* end_sanitize-v850e */
1456
1457 f = frag_more (insn_size);
1458
1459 md_number_to_chars (f, insn, insn_size);
1460
1461 if (extra_data_after_insn)
1462 {
1463 char * g = frag_more (extra_data_len);
1464
1465 md_number_to_chars (g, extra_data, extra_data_len);
1466
1467 extra_data_after_insn = false;
1468 }
1469 }
1470
1471 /* Create any fixups. At this point we do not use a
1472 bfd_reloc_code_real_type, but instead just use the
1473 BFD_RELOC_UNUSED plus the operand index. This lets us easily
1474 handle fixups for any operand type, although that is admittedly
1475 not a very exciting feature. We pick a BFD reloc type in
1476 md_apply_fix. */
1477 for (i = 0; i < fc; i++)
1478 {
1479 const struct v850_operand * operand;
1480
1481 operand = & v850_operands[ fixups[i].opindex ];
1482
1483 if (fixups[i].reloc != BFD_RELOC_UNUSED)
1484 {
1485 reloc_howto_type * reloc_howto = bfd_reloc_type_lookup (stdoutput, fixups[i].reloc);
1486 int size;
1487 int address;
1488 fixS * fixP;
1489
1490 if (!reloc_howto)
1491 abort();
1492
1493 size = bfd_get_reloc_size (reloc_howto);
1494
1495 if (size != 2 && size != 4) /* XXX this will abort on an R_V850_8 reloc - is this reloc actually used ? */
1496 abort();
1497
1498 address = (f - frag_now->fr_literal) + insn_size - size;
1499
1500 fixP = fix_new_exp (frag_now, address, size,
1501 & fixups[i].exp,
1502 reloc_howto->pc_relative,
1503 fixups[i].reloc);
1504
1505 switch (fixups[i].reloc)
1506 {
1507 case BFD_RELOC_LO16:
1508 case BFD_RELOC_HI16:
1509 case BFD_RELOC_HI16_S:
1510 fixP->fx_no_overflow = 1;
1511 break;
1512 }
1513 }
1514 else
1515 {
1516 fix_new_exp (
1517 frag_now,
1518 f - frag_now->fr_literal, 4,
1519 & fixups[i].exp,
1520 1 /* FIXME: V850_OPERAND_RELATIVE ??? */,
1521 (bfd_reloc_code_real_type) (fixups[i].opindex + (int) BFD_RELOC_UNUSED)
1522 );
1523 }
1524 }
1525
1526 input_line_pointer = saved_input_line_pointer;
1527 }
1528
1529
1530 /* If while processing a fixup, a reloc really needs to be created */
1531 /* then it is done here. */
1532
1533 arelent *
1534 tc_gen_reloc (seg, fixp)
1535 asection * seg;
1536 fixS * fixp;
1537 {
1538 arelent * reloc;
1539
1540 reloc = (arelent *) xmalloc (sizeof (arelent));
1541 reloc->sym_ptr_ptr = & fixp->fx_addsy->bsym;
1542 reloc->address = fixp->fx_frag->fr_address + fixp->fx_where;
1543 reloc->howto = bfd_reloc_type_lookup (stdoutput, fixp->fx_r_type);
1544
1545 if (reloc->howto == (reloc_howto_type *) NULL)
1546 {
1547 as_bad_where (fixp->fx_file, fixp->fx_line,
1548 "reloc %d not supported by object file format", (int)fixp->fx_r_type);
1549 return NULL;
1550 }
1551
1552 reloc->addend = fixp->fx_addnumber;
1553
1554 return reloc;
1555 }
1556
1557 /* Assume everything will fit in two bytes, then expand as necessary. */
1558 int
1559 md_estimate_size_before_relax (fragp, seg)
1560 fragS * fragp;
1561 asection * seg;
1562 {
1563 fragp->fr_var = 4;
1564 return 2;
1565 }
1566
1567
1568 long
1569 md_pcrel_from (fixp)
1570 fixS * fixp;
1571 {
1572 /* If the symbol is undefined, or in a section other than our own,
1573 then let the linker figure it out. */
1574 if (fixp->fx_addsy != (symbolS *) NULL && ! S_IS_DEFINED (fixp->fx_addsy))
1575 {
1576 /* The symbol is undefined. Let the linker figure it out. */
1577 return 0;
1578 }
1579 return fixp->fx_frag->fr_address + fixp->fx_where;
1580 }
1581
1582 int
1583 md_apply_fix3 (fixp, valuep, seg)
1584 fixS * fixp;
1585 valueT * valuep;
1586 segT seg;
1587 {
1588 valueT value;
1589 char * where;
1590
1591 if (fixp->fx_addsy == (symbolS *) NULL)
1592 {
1593 value = * valuep;
1594 fixp->fx_done = 1;
1595 }
1596 else if (fixp->fx_pcrel)
1597 value = * valuep;
1598 else
1599 {
1600 value = fixp->fx_offset;
1601 if (fixp->fx_subsy != (symbolS *) NULL)
1602 {
1603 if (S_GET_SEGMENT (fixp->fx_subsy) == absolute_section)
1604 value -= S_GET_VALUE (fixp->fx_subsy);
1605 else
1606 {
1607 /* We don't actually support subtracting a symbol. */
1608 as_bad_where (fixp->fx_file, fixp->fx_line,
1609 "expression too complex");
1610 }
1611 }
1612 }
1613
1614 if ((int) fixp->fx_r_type >= (int) BFD_RELOC_UNUSED)
1615 {
1616 int opindex;
1617 const struct v850_operand * operand;
1618 char * where;
1619 unsigned long insn;
1620
1621 opindex = (int) fixp->fx_r_type - (int) BFD_RELOC_UNUSED;
1622 operand = & v850_operands[ opindex ];
1623
1624 /* Fetch the instruction, insert the fully resolved operand
1625 value, and stuff the instruction back again.
1626
1627 Note the instruction has been stored in little endian
1628 format! */
1629 where = fixp->fx_frag->fr_literal + fixp->fx_where;
1630
1631 insn = bfd_getl32 ((unsigned char *) where);
1632 insn = v850_insert_operand (insn, operand, (offsetT) value,
1633 fixp->fx_file, fixp->fx_line);
1634 bfd_putl32 ((bfd_vma) insn, (unsigned char *) where);
1635
1636 if (fixp->fx_done)
1637 {
1638 /* Nothing else to do here. */
1639 return 1;
1640 }
1641
1642 /* Determine a BFD reloc value based on the operand information.
1643 We are only prepared to turn a few of the operands into relocs. */
1644
1645 if (operand->bits == 22)
1646 fixp->fx_r_type = BFD_RELOC_V850_22_PCREL;
1647 else if (operand->bits == 9)
1648 fixp->fx_r_type = BFD_RELOC_V850_9_PCREL;
1649 else
1650 {
1651 as_bad_where(fixp->fx_file, fixp->fx_line,
1652 "unresolved expression that must be resolved");
1653 fixp->fx_done = 1;
1654 return 1;
1655 }
1656 }
1657 else if (fixp->fx_done)
1658 {
1659 /* We still have to insert the value into memory! */
1660 where = fixp->fx_frag->fr_literal + fixp->fx_where;
1661 if (fixp->fx_size == 1)
1662 *where = value & 0xff;
1663 if (fixp->fx_size == 2)
1664 bfd_putl16 (value & 0xffff, (unsigned char *) where);
1665 if (fixp->fx_size == 4)
1666 bfd_putl32 (value, (unsigned char *) where);
1667 }
1668
1669 fixp->fx_addnumber = value;
1670 return 1;
1671 }
1672
1673 \f
1674 /* Insert an operand value into an instruction. */
1675
1676 static unsigned long
1677 v850_insert_operand (insn, operand, val, file, line)
1678 unsigned long insn;
1679 const struct v850_operand * operand;
1680 offsetT val;
1681 char *file;
1682 unsigned int line;
1683 {
1684 if (operand->insert)
1685 {
1686 const char * message = NULL;
1687
1688 insn = (*operand->insert) (insn, val, & message);
1689 if (message != NULL)
1690 {
1691 if (file == (char *) NULL)
1692 as_warn (message);
1693 else
1694 as_warn_where (file, line, message);
1695 }
1696 }
1697 else
1698 {
1699 if (operand->bits != 32)
1700 {
1701 long min, max;
1702 offsetT test;
1703
1704 if ((operand->flags & V850_OPERAND_SIGNED) != 0)
1705 {
1706 if (! warn_signed_overflows)
1707 max = (1 << operand->bits) - 1;
1708 else
1709 max = (1 << (operand->bits - 1)) - 1;
1710
1711 min = - (1 << (operand->bits - 1));
1712 }
1713 else
1714 {
1715 max = (1 << operand->bits) - 1;
1716
1717 if (! warn_unsigned_overflows)
1718 min = - (1 << (operand->bits - 1));
1719 else
1720 min = 0;
1721 }
1722
1723 test = val;
1724
1725 if (test < (offsetT) min || test > (offsetT) max)
1726 {
1727 const char * err = "operand out of range (%s not between %ld and %ld)";
1728 char buf[100];
1729
1730 sprint_value (buf, test);
1731 if (file == (char *) NULL)
1732 as_warn (err, buf, min, max);
1733 else
1734 as_warn_where (file, line, err, buf, min, max);
1735 }
1736 }
1737
1738 insn |= (((long) val & ((1 << operand->bits) - 1)) << operand->shift);
1739 }
1740
1741 return insn;
1742 }
1743
1744 /* Parse a cons expression. We have to handle hi(), lo(), etc
1745 on the v850. */
1746 void
1747 parse_cons_expression_v850 (exp)
1748 expressionS *exp;
1749 {
1750 /* See if there's a reloc prefix like hi() we have to handle. */
1751 hold_cons_reloc = v850_reloc_prefix (NULL);
1752
1753 /* Do normal expression parsing. */
1754 expression (exp);
1755 }
1756
1757 /* Create a fixup for a cons expression. If parse_cons_expression_v850
1758 found a reloc prefix, then we use that reloc, else we choose an
1759 appropriate one based on the size of the expression. */
1760 void
1761 cons_fix_new_v850 (frag, where, size, exp)
1762 fragS *frag;
1763 int where;
1764 int size;
1765 expressionS *exp;
1766 {
1767 if (hold_cons_reloc == BFD_RELOC_UNUSED)
1768 {
1769 if (size == 4)
1770 hold_cons_reloc = BFD_RELOC_32;
1771 if (size == 2)
1772 hold_cons_reloc = BFD_RELOC_16;
1773 if (size == 1)
1774 hold_cons_reloc = BFD_RELOC_8;
1775 }
1776
1777 if (exp != NULL)
1778 fix_new_exp (frag, where, size, exp, 0, hold_cons_reloc);
1779 else
1780 fix_new (frag, where, size, NULL, 0, 0, hold_cons_reloc);
1781 }