Use BB instance for bidirectionnal IOs
[gram.git] / gram / dfii.py
1 # This file is Copyright (c) 2015 Sebastien Bourdeauducq <sb@m-labs.hk>
2 # This file is Copyright (c) 2016-2019 Florent Kermarrec <florent@enjoy-digital.fr>
3 # This file is Copyright (c) 2020 LambdaConcept <contact@lambdaconcept.com>
4 # License: BSD
5
6 from nmigen import *
7
8 from gram.phy import dfi
9 from gram.compat import CSRPrefixProxy
10
11 # PhaseInjector ------------------------------------------------------------------------------------
12
13
14 class PhaseInjector(Elaboratable):
15 def __init__(self, csr_bank, phase):
16 self._command = csr_bank.csr(6, "rw")
17 self._command_issue = csr_bank.csr(1, "rw")
18 self._address = csr_bank.csr(len(phase.address), "rw")
19 self._baddress = csr_bank.csr(len(phase.bank), "rw")
20 self._wrdata = csr_bank.csr(len(phase.wrdata), "rw")
21 self._rddata = csr_bank.csr(len(phase.rddata), "rw")
22
23 self._phase = phase
24
25 def elaborate(self, platform):
26 m = Module()
27
28 m.d.comb += [
29 self._phase.address.eq(self._address.r_data),
30 self._phase.bank.eq(self._baddress.r_data),
31 self._phase.wrdata_en.eq(
32 self._command_issue.r_stb & self._command.r_data[4]),
33 self._phase.rddata_en.eq(
34 self._command_issue.r_stb & self._command.r_data[5]),
35 self._phase.wrdata.eq(self._wrdata.r_data),
36 self._phase.wrdata_mask.eq(0)
37 ]
38
39 with m.If(self._command_issue.r_stb):
40 m.d.comb += [
41 self._phase.cs_n.eq(Repl(value=~self._command.r_data[0], count=len(self._phase.cs_n))),
42 self._phase.we_n.eq(~self._command.r_data[1]),
43 self._phase.cas_n.eq(~self._command.r_data[2]),
44 self._phase.ras_n.eq(~self._command.r_data[3]),
45 ]
46 with m.Else():
47 m.d.comb += [
48 self._phase.cs_n.eq(Repl(value=1, count=len(self._phase.cs_n))),
49 self._phase.we_n.eq(1),
50 self._phase.cas_n.eq(1),
51 self._phase.ras_n.eq(1),
52 ]
53
54 with m.If(self._phase.rddata_valid):
55 m.d.sync += self._rddata.r_data.eq(self._phase.rddata)
56
57 return m
58
59 # DFIInjector --------------------------------------------------------------------------------------
60
61
62 class DFIInjector(Elaboratable):
63 def __init__(self, csr_bank, addressbits, bankbits, nranks, databits, nphases=1):
64 self._nranks = nranks
65
66 self._inti = dfi.Interface(
67 addressbits, bankbits, nranks, databits, nphases)
68 self.slave = dfi.Interface(
69 addressbits, bankbits, nranks, databits, nphases)
70 self.master = dfi.Interface(
71 addressbits, bankbits, nranks, databits, nphases)
72
73 self._control = csr_bank.csr(4, "rw") # sel, cke, odt, reset_n
74
75 self._phases = []
76 for n, phase in enumerate(self._inti.phases):
77 self._phases += [PhaseInjector(CSRPrefixProxy(csr_bank,
78 "p{}".format(n)), phase)]
79
80 def elaborate(self, platform):
81 m = Module()
82
83 m.submodules += self._phases
84
85 with m.If(self._control.r_data[0]):
86 m.d.comb += self.slave.connect(self.master)
87 with m.Else():
88 m.d.comb += self._inti.connect(self.master)
89
90 for i in range(self._nranks):
91 m.d.comb += [phase.cke[i].eq(self._control.r_data[1])
92 for phase in self._inti.phases]
93 m.d.comb += [phase.odt[i].eq(self._control.r_data[2])
94 for phase in self._inti.phases if hasattr(phase, "odt")]
95 m.d.comb += [phase.reset_n.eq(self._control.r_data[3])
96 for phase in self._inti.phases if hasattr(phase, "reset_n")]
97
98 return m