Merge remote-tracking branch 'origin/master' into xaig
[yosys.git] / kernel / celltypes.h
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 */
19
20 #ifndef CELLTYPES_H
21 #define CELLTYPES_H
22
23 #include "kernel/yosys.h"
24
25 YOSYS_NAMESPACE_BEGIN
26
27 struct CellType
28 {
29 RTLIL::IdString type;
30 pool<RTLIL::IdString> inputs, outputs;
31 bool is_evaluable;
32 };
33
34 struct CellTypes
35 {
36 dict<RTLIL::IdString, CellType> cell_types;
37
38 CellTypes()
39 {
40 }
41
42 CellTypes(RTLIL::Design *design)
43 {
44 setup(design);
45 }
46
47 void setup(RTLIL::Design *design = NULL)
48 {
49 if (design)
50 setup_design(design);
51
52 setup_internals();
53 setup_internals_mem();
54 setup_stdcells();
55 setup_stdcells_mem();
56 }
57
58 void setup_type(RTLIL::IdString type, const pool<RTLIL::IdString> &inputs, const pool<RTLIL::IdString> &outputs, bool is_evaluable = false)
59 {
60 CellType ct = {type, inputs, outputs, is_evaluable};
61 cell_types[ct.type] = ct;
62 }
63
64 void setup_module(RTLIL::Module *module)
65 {
66 pool<RTLIL::IdString> inputs, outputs;
67 for (RTLIL::IdString wire_name : module->ports) {
68 RTLIL::Wire *wire = module->wire(wire_name);
69 if (wire->port_input)
70 inputs.insert(wire->name);
71 if (wire->port_output)
72 outputs.insert(wire->name);
73 }
74 setup_type(module->name, inputs, outputs);
75 }
76
77 void setup_design(RTLIL::Design *design)
78 {
79 for (auto module : design->modules())
80 setup_module(module);
81 }
82
83 void setup_internals()
84 {
85 setup_internals_eval();
86
87 IdString A = "\\A", B = "\\B", EN = "\\EN", Y = "\\Y";
88 IdString SRC = "\\SRC", DST = "\\DST", DAT = "\\DAT";
89 IdString EN_SRC = "\\EN_SRC", EN_DST = "\\EN_DST";
90
91 setup_type("$tribuf", {A, EN}, {Y}, true);
92
93 setup_type("$assert", {A, EN}, pool<RTLIL::IdString>(), true);
94 setup_type("$assume", {A, EN}, pool<RTLIL::IdString>(), true);
95 setup_type("$live", {A, EN}, pool<RTLIL::IdString>(), true);
96 setup_type("$fair", {A, EN}, pool<RTLIL::IdString>(), true);
97 setup_type("$cover", {A, EN}, pool<RTLIL::IdString>(), true);
98 setup_type("$initstate", pool<RTLIL::IdString>(), {Y}, true);
99 setup_type("$anyconst", pool<RTLIL::IdString>(), {Y}, true);
100 setup_type("$anyseq", pool<RTLIL::IdString>(), {Y}, true);
101 setup_type("$allconst", pool<RTLIL::IdString>(), {Y}, true);
102 setup_type("$allseq", pool<RTLIL::IdString>(), {Y}, true);
103 setup_type("$equiv", {A, B}, {Y}, true);
104 setup_type("$specify2", {EN, SRC, DST}, pool<RTLIL::IdString>(), true);
105 setup_type("$specify3", {EN, SRC, DST, DAT}, pool<RTLIL::IdString>(), true);
106 setup_type("$specrule", {EN_SRC, EN_DST, SRC, DST}, pool<RTLIL::IdString>(), true);
107 }
108
109 void setup_internals_eval()
110 {
111 std::vector<RTLIL::IdString> unary_ops = {
112 "$not", "$pos", "$neg",
113 "$reduce_and", "$reduce_or", "$reduce_xor", "$reduce_xnor", "$reduce_bool",
114 "$logic_not", "$slice", "$lut", "$sop"
115 };
116
117 std::vector<RTLIL::IdString> binary_ops = {
118 "$and", "$or", "$xor", "$xnor",
119 "$shl", "$shr", "$sshl", "$sshr", "$shift", "$shiftx",
120 "$lt", "$le", "$eq", "$ne", "$eqx", "$nex", "$ge", "$gt",
121 "$add", "$sub", "$mul", "$div", "$mod", "$pow",
122 "$logic_and", "$logic_or", "$concat", "$macc"
123 };
124 IdString A = "\\A", B = "\\B", S = "\\S", Y = "\\Y";
125 IdString P = "\\P", G = "\\G", C = "\\C", X = "\\X";
126 IdString BI = "\\BI", CI = "\\CI", CO = "\\CO", EN = "\\EN";
127
128 for (auto type : unary_ops)
129 setup_type(type, {A}, {Y}, true);
130
131 for (auto type : binary_ops)
132 setup_type(type, {A, B}, {Y}, true);
133
134 for (auto type : std::vector<RTLIL::IdString>({"$mux", "$pmux"}))
135 setup_type(type, {A, B, S}, {Y}, true);
136
137 setup_type("$lcu", {P, G, CI}, {CO}, true);
138 setup_type("$alu", {A, B, CI, BI}, {X, Y, CO}, true);
139 setup_type("$fa", {A, B, C}, {X, Y}, true);
140 }
141
142 void setup_internals_mem()
143 {
144 IdString SET = "\\SET", CLR = "\\CLR", CLK = "\\CLK", ARST = "\\ARST", EN = "\\EN";
145 IdString Q = "\\Q", D = "\\D", ADDR = "\\ADDR", DATA = "\\DATA", RD_EN = "\\RD_EN";
146 IdString RD_CLK = "\\RD_CLK", RD_ADDR = "\\RD_ADDR", WR_CLK = "\\WR_CLK", WR_EN = "\\WR_EN";
147 IdString WR_ADDR = "\\WR_ADDR", WR_DATA = "\\WR_DATA", RD_DATA = "\\RD_DATA";
148 IdString CTRL_IN = "\\CTRL_IN", CTRL_OUT = "\\CTRL_OUT";
149
150 setup_type("$sr", {SET, CLR}, {Q});
151 setup_type("$ff", {D}, {Q});
152 setup_type("$dff", {CLK, D}, {Q});
153 setup_type("$dffe", {CLK, EN, D}, {Q});
154 setup_type("$dffsr", {CLK, SET, CLR, D}, {Q});
155 setup_type("$adff", {CLK, ARST, D}, {Q});
156 setup_type("$dlatch", {EN, D}, {Q});
157 setup_type("$dlatchsr", {EN, SET, CLR, D}, {Q});
158
159 setup_type("$memrd", {CLK, EN, ADDR}, {DATA});
160 setup_type("$memwr", {CLK, EN, ADDR, DATA}, pool<RTLIL::IdString>());
161 setup_type("$meminit", {ADDR, DATA}, pool<RTLIL::IdString>());
162 setup_type("$mem", {RD_CLK, RD_EN, RD_ADDR, WR_CLK, WR_EN, WR_ADDR, WR_DATA}, {RD_DATA});
163
164 setup_type("$fsm", {CLK, ARST, CTRL_IN}, {CTRL_OUT});
165 }
166
167 void setup_stdcells()
168 {
169 setup_stdcells_eval();
170
171 IdString A = "\\A", E = "\\E", Y = "\\Y";
172
173 setup_type("$_TBUF_", {A, E}, {Y}, true);
174 }
175
176 void setup_stdcells_eval()
177 {
178 IdString A = "\\A", B = "\\B", C = "\\C", D = "\\D";
179 IdString E = "\\E", F = "\\F", G = "\\G", H = "\\H";
180 IdString I = "\\I", J = "\\J", K = "\\K", L = "\\L";
181 IdString M = "\\M", N = "\\N", O = "\\O", P = "\\P";
182 IdString S = "\\S", T = "\\T", U = "\\U", V = "\\V";
183 IdString Y = "\\Y";
184
185 setup_type("$_BUF_", {A}, {Y}, true);
186 setup_type("$_NOT_", {A}, {Y}, true);
187 setup_type("$_AND_", {A, B}, {Y}, true);
188 setup_type("$_NAND_", {A, B}, {Y}, true);
189 setup_type("$_OR_", {A, B}, {Y}, true);
190 setup_type("$_NOR_", {A, B}, {Y}, true);
191 setup_type("$_XOR_", {A, B}, {Y}, true);
192 setup_type("$_XNOR_", {A, B}, {Y}, true);
193 setup_type("$_ANDNOT_", {A, B}, {Y}, true);
194 setup_type("$_ORNOT_", {A, B}, {Y}, true);
195 setup_type("$_MUX_", {A, B, S}, {Y}, true);
196 setup_type("$_MUX4_", {A, B, C, D, S, T}, {Y}, true);
197 setup_type("$_MUX8_", {A, B, C, D, E, F, G, H, S, T, U}, {Y}, true);
198 setup_type("$_MUX16_", {A, B, C, D, E, F, G, H, I, J, K, L, M, N, O, P, S, T, U, V}, {Y}, true);
199 setup_type("$_AOI3_", {A, B, C}, {Y}, true);
200 setup_type("$_OAI3_", {A, B, C}, {Y}, true);
201 setup_type("$_AOI4_", {A, B, C, D}, {Y}, true);
202 setup_type("$_OAI4_", {A, B, C, D}, {Y}, true);
203 }
204
205 void setup_stdcells_mem()
206 {
207 IdString S = "\\S", R = "\\R", C = "\\C";
208 IdString D = "\\D", Q = "\\Q", E = "\\E";
209
210 std::vector<char> list_np = {'N', 'P'}, list_01 = {'0', '1'};
211
212 for (auto c1 : list_np)
213 for (auto c2 : list_np)
214 setup_type(stringf("$_SR_%c%c_", c1, c2), {S, R}, {Q});
215
216 setup_type("$_FF_", {D}, {Q});
217
218 for (auto c1 : list_np)
219 setup_type(stringf("$_DFF_%c_", c1), {C, D}, {Q});
220
221 for (auto c1 : list_np)
222 for (auto c2 : list_np)
223 setup_type(stringf("$_DFFE_%c%c_", c1, c2), {C, D, E}, {Q});
224
225 for (auto c1 : list_np)
226 for (auto c2 : list_np)
227 for (auto c3 : list_01)
228 setup_type(stringf("$_DFF_%c%c%c_", c1, c2, c3), {C, R, D}, {Q});
229
230 for (auto c1 : list_np)
231 for (auto c2 : list_np)
232 for (auto c3 : list_np)
233 setup_type(stringf("$_DFFSR_%c%c%c_", c1, c2, c3), {C, S, R, D}, {Q});
234
235 for (auto c1 : list_np)
236 setup_type(stringf("$_DLATCH_%c_", c1), {E, D}, {Q});
237
238 for (auto c1 : list_np)
239 for (auto c2 : list_np)
240 for (auto c3 : list_np)
241 setup_type(stringf("$_DLATCHSR_%c%c%c_", c1, c2, c3), {E, S, R, D}, {Q});
242 }
243
244 void clear()
245 {
246 cell_types.clear();
247 }
248
249 bool cell_known(RTLIL::IdString type)
250 {
251 return cell_types.count(type) != 0;
252 }
253
254 bool cell_output(RTLIL::IdString type, RTLIL::IdString port)
255 {
256 auto it = cell_types.find(type);
257 return it != cell_types.end() && it->second.outputs.count(port) != 0;
258 }
259
260 bool cell_input(RTLIL::IdString type, RTLIL::IdString port)
261 {
262 auto it = cell_types.find(type);
263 return it != cell_types.end() && it->second.inputs.count(port) != 0;
264 }
265
266 bool cell_evaluable(RTLIL::IdString type)
267 {
268 auto it = cell_types.find(type);
269 return it != cell_types.end() && it->second.is_evaluable;
270 }
271
272 static RTLIL::Const eval_not(RTLIL::Const v)
273 {
274 for (auto &bit : v.bits)
275 if (bit == RTLIL::S0) bit = RTLIL::S1;
276 else if (bit == RTLIL::S1) bit = RTLIL::S0;
277 return v;
278 }
279
280 static RTLIL::Const eval(RTLIL::IdString type, const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool signed1, bool signed2, int result_len, bool *errp = nullptr)
281 {
282 if (type == "$sshr" && !signed1)
283 type = "$shr";
284 if (type == "$sshl" && !signed1)
285 type = "$shl";
286
287 if (type != "$sshr" && type != "$sshl" && type != "$shr" && type != "$shl" && type != "$shift" && type != "$shiftx" &&
288 type != "$pos" && type != "$neg" && type != "$not") {
289 if (!signed1 || !signed2)
290 signed1 = false, signed2 = false;
291 }
292
293 #define HANDLE_CELL_TYPE(_t) if (type == "$" #_t) return const_ ## _t(arg1, arg2, signed1, signed2, result_len);
294 HANDLE_CELL_TYPE(not)
295 HANDLE_CELL_TYPE(and)
296 HANDLE_CELL_TYPE(or)
297 HANDLE_CELL_TYPE(xor)
298 HANDLE_CELL_TYPE(xnor)
299 HANDLE_CELL_TYPE(reduce_and)
300 HANDLE_CELL_TYPE(reduce_or)
301 HANDLE_CELL_TYPE(reduce_xor)
302 HANDLE_CELL_TYPE(reduce_xnor)
303 HANDLE_CELL_TYPE(reduce_bool)
304 HANDLE_CELL_TYPE(logic_not)
305 HANDLE_CELL_TYPE(logic_and)
306 HANDLE_CELL_TYPE(logic_or)
307 HANDLE_CELL_TYPE(shl)
308 HANDLE_CELL_TYPE(shr)
309 HANDLE_CELL_TYPE(sshl)
310 HANDLE_CELL_TYPE(sshr)
311 HANDLE_CELL_TYPE(shift)
312 HANDLE_CELL_TYPE(shiftx)
313 HANDLE_CELL_TYPE(lt)
314 HANDLE_CELL_TYPE(le)
315 HANDLE_CELL_TYPE(eq)
316 HANDLE_CELL_TYPE(ne)
317 HANDLE_CELL_TYPE(eqx)
318 HANDLE_CELL_TYPE(nex)
319 HANDLE_CELL_TYPE(ge)
320 HANDLE_CELL_TYPE(gt)
321 HANDLE_CELL_TYPE(add)
322 HANDLE_CELL_TYPE(sub)
323 HANDLE_CELL_TYPE(mul)
324 HANDLE_CELL_TYPE(div)
325 HANDLE_CELL_TYPE(mod)
326 HANDLE_CELL_TYPE(pow)
327 HANDLE_CELL_TYPE(pos)
328 HANDLE_CELL_TYPE(neg)
329 #undef HANDLE_CELL_TYPE
330
331 if (type == "$_BUF_")
332 return arg1;
333 if (type == "$_NOT_")
334 return eval_not(arg1);
335 if (type == "$_AND_")
336 return const_and(arg1, arg2, false, false, 1);
337 if (type == "$_NAND_")
338 return eval_not(const_and(arg1, arg2, false, false, 1));
339 if (type == "$_OR_")
340 return const_or(arg1, arg2, false, false, 1);
341 if (type == "$_NOR_")
342 return eval_not(const_or(arg1, arg2, false, false, 1));
343 if (type == "$_XOR_")
344 return const_xor(arg1, arg2, false, false, 1);
345 if (type == "$_XNOR_")
346 return const_xnor(arg1, arg2, false, false, 1);
347 if (type == "$_ANDNOT_")
348 return const_and(arg1, eval_not(arg2), false, false, 1);
349 if (type == "$_ORNOT_")
350 return const_or(arg1, eval_not(arg2), false, false, 1);
351
352 if (errp != nullptr) {
353 *errp = true;
354 return State::Sm;
355 }
356
357 log_abort();
358 }
359
360 static RTLIL::Const eval(RTLIL::Cell *cell, const RTLIL::Const &arg1, const RTLIL::Const &arg2, bool *errp = nullptr)
361 {
362 if (cell->type == "$slice") {
363 RTLIL::Const ret;
364 int width = cell->parameters.at("\\Y_WIDTH").as_int();
365 int offset = cell->parameters.at("\\OFFSET").as_int();
366 ret.bits.insert(ret.bits.end(), arg1.bits.begin()+offset, arg1.bits.begin()+offset+width);
367 return ret;
368 }
369
370 if (cell->type == "$concat") {
371 RTLIL::Const ret = arg1;
372 ret.bits.insert(ret.bits.end(), arg2.bits.begin(), arg2.bits.end());
373 return ret;
374 }
375
376 if (cell->type == "$lut")
377 {
378 int width = cell->parameters.at("\\WIDTH").as_int();
379
380 std::vector<RTLIL::State> t = cell->parameters.at("\\LUT").bits;
381 while (GetSize(t) < (1 << width))
382 t.push_back(RTLIL::S0);
383 t.resize(1 << width);
384
385 for (int i = width-1; i >= 0; i--) {
386 RTLIL::State sel = arg1.bits.at(i);
387 std::vector<RTLIL::State> new_t;
388 if (sel == RTLIL::S0)
389 new_t = std::vector<RTLIL::State>(t.begin(), t.begin() + GetSize(t)/2);
390 else if (sel == RTLIL::S1)
391 new_t = std::vector<RTLIL::State>(t.begin() + GetSize(t)/2, t.end());
392 else
393 for (int j = 0; j < GetSize(t)/2; j++)
394 new_t.push_back(t[j] == t[j + GetSize(t)/2] ? t[j] : RTLIL::Sx);
395 t.swap(new_t);
396 }
397
398 log_assert(GetSize(t) == 1);
399 return t;
400 }
401
402 if (cell->type == "$sop")
403 {
404 int width = cell->parameters.at("\\WIDTH").as_int();
405 int depth = cell->parameters.at("\\DEPTH").as_int();
406 std::vector<RTLIL::State> t = cell->parameters.at("\\TABLE").bits;
407
408 while (GetSize(t) < width*depth*2)
409 t.push_back(RTLIL::S0);
410
411 RTLIL::State default_ret = State::S0;
412
413 for (int i = 0; i < depth; i++)
414 {
415 bool match = true;
416 bool match_x = true;
417
418 for (int j = 0; j < width; j++) {
419 RTLIL::State a = arg1.bits.at(j);
420 if (t.at(2*width*i + 2*j + 0) == State::S1) {
421 if (a == State::S1) match_x = false;
422 if (a != State::S0) match = false;
423 }
424 if (t.at(2*width*i + 2*j + 1) == State::S1) {
425 if (a == State::S0) match_x = false;
426 if (a != State::S1) match = false;
427 }
428 }
429
430 if (match)
431 return State::S1;
432
433 if (match_x)
434 default_ret = State::Sx;
435 }
436
437 return default_ret;
438 }
439
440 bool signed_a = cell->parameters.count("\\A_SIGNED") > 0 && cell->parameters["\\A_SIGNED"].as_bool();
441 bool signed_b = cell->parameters.count("\\B_SIGNED") > 0 && cell->parameters["\\B_SIGNED"].as_bool();
442 int result_len = cell->parameters.count("\\Y_WIDTH") > 0 ? cell->parameters["\\Y_WIDTH"].as_int() : -1;
443 return eval(cell->type, arg1, arg2, signed_a, signed_b, result_len, errp);
444 }
445
446 static RTLIL::Const eval(RTLIL::Cell *cell, const RTLIL::Const &arg1, const RTLIL::Const &arg2, const RTLIL::Const &arg3, bool *errp = nullptr)
447 {
448 if (cell->type.in("$mux", "$pmux", "$_MUX_")) {
449 RTLIL::Const ret = arg1;
450 for (size_t i = 0; i < arg3.bits.size(); i++)
451 if (arg3.bits[i] == RTLIL::State::S1) {
452 std::vector<RTLIL::State> bits(arg2.bits.begin() + i*arg1.bits.size(), arg2.bits.begin() + (i+1)*arg1.bits.size());
453 ret = RTLIL::Const(bits);
454 }
455 return ret;
456 }
457
458 if (cell->type == "$_AOI3_")
459 return eval_not(const_or(const_and(arg1, arg2, false, false, 1), arg3, false, false, 1));
460 if (cell->type == "$_OAI3_")
461 return eval_not(const_and(const_or(arg1, arg2, false, false, 1), arg3, false, false, 1));
462
463 log_assert(arg3.bits.size() == 0);
464 return eval(cell, arg1, arg2, errp);
465 }
466
467 static RTLIL::Const eval(RTLIL::Cell *cell, const RTLIL::Const &arg1, const RTLIL::Const &arg2, const RTLIL::Const &arg3, const RTLIL::Const &arg4, bool *errp = nullptr)
468 {
469 if (cell->type == "$_AOI4_")
470 return eval_not(const_or(const_and(arg1, arg2, false, false, 1), const_and(arg3, arg4, false, false, 1), false, false, 1));
471 if (cell->type == "$_OAI4_")
472 return eval_not(const_and(const_or(arg1, arg2, false, false, 1), const_or(arg3, arg4, false, false, 1), false, false, 1));
473
474 log_assert(arg4.bits.size() == 0);
475 return eval(cell, arg1, arg2, arg3, errp);
476 }
477 };
478
479 // initialized by yosys_setup()
480 extern CellTypes yosys_celltypes;
481
482 YOSYS_NAMESPACE_END
483
484 #endif
485