Merge pull request #2022 from Xiretza/fallthroughs
[yosys.git] / kernel / constids.inc
1 X(A)
2 X(abc9_box)
3 X(abc9_box_id)
4 X(abc9_box_seq)
5 X(abc9_carry)
6 X(abc9_flop)
7 X(abc9_holes)
8 X(abc9_init)
9 X(abc9_lut)
10 X(abc9_mergeability)
11 X(abc9_scc)
12 X(abc9_scc_id)
13 X(abcgroup)
14 X(ABITS)
15 X(ADDR)
16 X(allconst)
17 X(allseq)
18 X(always_comb)
19 X(always_ff)
20 X(always_latch)
21 X(anyconst)
22 X(anyseq)
23 X(ARST)
24 X(ARST_POLARITY)
25 X(ARST_VALUE)
26 X(A_SIGNED)
27 X(A_WIDTH)
28 X(B)
29 X(BI)
30 X(blackbox)
31 X(B_SIGNED)
32 X(bugpoint_keep)
33 X(B_WIDTH)
34 X(C)
35 X(cells_not_processed)
36 X(CFG_ABITS)
37 X(CFG_DBITS)
38 X(CFG_INIT)
39 X(CI)
40 X(CLK)
41 X(clkbuf_driver)
42 X(clkbuf_inhibit)
43 X(clkbuf_inv)
44 X(clkbuf_sink)
45 X(CLK_ENABLE)
46 X(CLK_POLARITY)
47 X(CLR)
48 X(CLR_POLARITY)
49 X(CO)
50 X(CONFIG)
51 X(CONFIG_WIDTH)
52 X(CTRL_IN)
53 X(CTRL_IN_WIDTH)
54 X(CTRL_OUT)
55 X(CTRL_OUT_WIDTH)
56 X(D)
57 X(DAT)
58 X(DATA)
59 X(DAT_DST_PEN)
60 X(DAT_DST_POL)
61 X(defaultvalue)
62 X(DELAY)
63 X(DEPTH)
64 X(DST)
65 X(DST_EN)
66 X(DST_PEN)
67 X(DST_POL)
68 X(DST_WIDTH)
69 X(dynports)
70 X(E)
71 X(EDGE_EN)
72 X(EDGE_POL)
73 X(EN)
74 X(EN_DST)
75 X(EN_POLARITY)
76 X(EN_SRC)
77 X(enum_base_type)
78 X(enum_type)
79 X(equiv_merged)
80 X(equiv_region)
81 X(extract_order)
82 X(F)
83 X(fsm_encoding)
84 X(fsm_export)
85 X(FULL)
86 X(full_case)
87 X(G)
88 X(gclk)
89 X(gentb_clock)
90 X(gentb_constant)
91 X(gentb_skip)
92 X(H)
93 X(hdlname)
94 X(hierconn)
95 X(I)
96 X(INIT)
97 X(init)
98 X(initial_top)
99 X(interface_modport)
100 X(interfaces_replaced_in_module)
101 X(interface_type)
102 X(invertible_pin)
103 X(iopad_external_pin)
104 X(is_interface)
105 X(J)
106 X(K)
107 X(keep)
108 X(keep_hierarchy)
109 X(L)
110 X(lib_whitebox)
111 X(localparam)
112 X(LUT)
113 X(lut_keep)
114 X(M)
115 X(maximize)
116 X(mem2reg)
117 X(MEMID)
118 X(minimize)
119 X(module_not_derived)
120 X(N)
121 X(NAME)
122 X(noblackbox)
123 X(nolatches)
124 X(nomem2init)
125 X(nomem2reg)
126 X(nomeminit)
127 X(nosync)
128 X(nowrshmsk)
129 X(O)
130 X(OFFSET)
131 X(onehot)
132 X(P)
133 X(parallel_case)
134 X(parameter)
135 X(PRIORITY)
136 X(Q)
137 X(qwp_position)
138 X(R)
139 X(RD_ADDR)
140 X(RD_CLK)
141 X(RD_CLK_ENABLE)
142 X(RD_CLK_POLARITY)
143 X(RD_DATA)
144 X(RD_EN)
145 X(RD_PORTS)
146 X(RD_TRANSPARENT)
147 X(reg)
148 X(S)
149 X(SET)
150 X(SET_POLARITY)
151 X(SIZE)
152 X(SRC)
153 X(src)
154 X(SRC_DST_PEN)
155 X(SRC_DST_POL)
156 X(SRC_EN)
157 X(SRC_PEN)
158 X(SRC_POL)
159 X(SRC_WIDTH)
160 X(STATE_BITS)
161 X(STATE_NUM)
162 X(STATE_NUM_LOG2)
163 X(STATE_RST)
164 X(STATE_TABLE)
165 X(submod)
166 X(S_WIDTH)
167 X(T)
168 X(TABLE)
169 X(techmap_autopurge)
170 X(_TECHMAP_BITS_CONNMAP_)
171 X(_TECHMAP_CELLTYPE_)
172 X(techmap_celltype)
173 X(techmap_maccmap)
174 X(_TECHMAP_REPLACE_)
175 X(techmap_simplemap)
176 X(_techmap_special_)
177 X(techmap_wrap)
178 X(T_FALL_MAX)
179 X(T_FALL_MIN)
180 X(T_FALL_TYP)
181 X(T_LIMIT)
182 X(T_LIMIT2)
183 X(T_LIMIT2_MAX)
184 X(T_LIMIT2_MIN)
185 X(T_LIMIT2_TYP)
186 X(T_LIMIT_MAX)
187 X(T_LIMIT_MIN)
188 X(T_LIMIT_TYP)
189 X(to_delete)
190 X(top)
191 X(TRANS_NUM)
192 X(TRANSPARENT)
193 X(TRANS_TABLE)
194 X(T_RISE_MAX)
195 X(T_RISE_MIN)
196 X(T_RISE_TYP)
197 X(TYPE)
198 X(U)
199 X(unique)
200 X(unused_bits)
201 X(V)
202 X(via_celltype)
203 X(wand)
204 X(whitebox)
205 X(WIDTH)
206 X(wildcard_port_conns)
207 X(wiretype)
208 X(wor)
209 X(WORDS)
210 X(WR_ADDR)
211 X(WR_CLK)
212 X(WR_CLK_ENABLE)
213 X(WR_CLK_POLARITY)
214 X(WR_DATA)
215 X(WR_EN)
216 X(WR_PORTS)
217 X(X)
218 X(Y)
219 X(Y_WIDTH)