Change implicit conversions from bool to Sig* to explicit.
[yosys.git] / kernel / driver.cc
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Claire Xenia Wolf <claire@yosyshq.com>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 */
19
20 #include "kernel/yosys.h"
21 #include "libs/sha1/sha1.h"
22
23 #ifdef YOSYS_ENABLE_READLINE
24 # include <readline/readline.h>
25 # include <readline/history.h>
26 #endif
27
28 #ifdef YOSYS_ENABLE_EDITLINE
29 # include <editline/readline.h>
30 #endif
31
32 #include <stdio.h>
33 #include <string.h>
34 #include <limits.h>
35 #include <errno.h>
36
37 #if defined (__linux__) || defined(__FreeBSD__)
38 # include <sys/resource.h>
39 # include <sys/types.h>
40 # include <unistd.h>
41 #endif
42
43 #ifdef __FreeBSD__
44 # include <sys/sysctl.h>
45 # include <sys/user.h>
46 #endif
47
48 #if !defined(_WIN32) || defined(__MINGW32__)
49 # include <unistd.h>
50 #else
51 char *optarg;
52 int optind = 1, optcur = 1;
53 int getopt(int argc, char **argv, const char *optstring)
54 {
55 if (optind >= argc || argv[optind][0] != '-')
56 return -1;
57
58 bool takes_arg = false;
59 int opt = argv[optind][optcur];
60 for (int i = 0; optstring[i]; i++)
61 if (opt == optstring[i] && optstring[i + 1] == ':')
62 takes_arg = true;
63
64 if (!takes_arg) {
65 if (argv[optind][++optcur] == 0)
66 optind++, optcur = 1;
67 return opt;
68 }
69
70 if (argv[optind][++optcur]) {
71 optarg = argv[optind++] + optcur;
72 optcur = 1;
73 return opt;
74 }
75
76 optarg = argv[++optind];
77 optind++, optcur = 1;
78 return opt;
79 }
80 #endif
81
82
83 USING_YOSYS_NAMESPACE
84
85 #ifdef EMSCRIPTEN
86 # include <sys/stat.h>
87 # include <sys/types.h>
88 # include <emscripten.h>
89
90 extern "C" int main(int, char**);
91 extern "C" void run(const char*);
92 extern "C" const char *errmsg();
93 extern "C" const char *prompt();
94
95 int main(int argc, char **argv)
96 {
97 EM_ASM(
98 if (ENVIRONMENT_IS_NODE)
99 {
100 FS.mkdir('/hostcwd');
101 FS.mount(NODEFS, { root: '.' }, '/hostcwd');
102 FS.mkdir('/hostfs');
103 FS.mount(NODEFS, { root: '/' }, '/hostfs');
104 }
105 );
106
107 mkdir("/work", 0777);
108 chdir("/work");
109 log_files.push_back(stdout);
110 log_error_stderr = true;
111 yosys_banner();
112 yosys_setup();
113 #ifdef WITH_PYTHON
114 PyRun_SimpleString(("sys.path.append(\""+proc_self_dirname()+"\")").c_str());
115 PyRun_SimpleString(("sys.path.append(\""+proc_share_dirname()+"plugins\")").c_str());
116 #endif
117
118 if (argc == 2)
119 {
120 // Run the first argument as a script file
121 run_frontend(argv[1], "script", 0, 0, 0);
122 }
123 }
124
125 void run(const char *command)
126 {
127 int selSize = GetSize(yosys_get_design()->selection_stack);
128 try {
129 log_last_error = "Internal error (see JavaScript console for details)";
130 run_pass(command);
131 log_last_error = "";
132 } catch (...) {
133 while (GetSize(yosys_get_design()->selection_stack) > selSize)
134 yosys_get_design()->selection_stack.pop_back();
135 throw;
136 }
137 }
138
139 const char *errmsg()
140 {
141 return log_last_error.c_str();
142 }
143
144 const char *prompt()
145 {
146 const char *p = create_prompt(yosys_get_design(), 0);
147 while (*p == '\n') p++;
148 return p;
149 }
150
151 #else /* EMSCRIPTEN */
152
153 #if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE)
154 int yosys_history_offset = 0;
155 std::string yosys_history_file;
156 #endif
157
158 #if defined(__wasm)
159 extern "C" {
160 // FIXME: WASI does not currently support exceptions.
161 void* __cxa_allocate_exception(size_t thrown_size) throw() {
162 return malloc(thrown_size);
163 }
164 bool __cxa_uncaught_exception() throw();
165 void __cxa_throw(void* thrown_exception, struct std::type_info * tinfo, void (*dest)(void*)) {
166 std::terminate();
167 }
168 }
169 #endif
170
171 void yosys_atexit()
172 {
173 #if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE)
174 if (!yosys_history_file.empty()) {
175 #if defined(YOSYS_ENABLE_READLINE)
176 if (yosys_history_offset > 0) {
177 history_truncate_file(yosys_history_file.c_str(), 100);
178 append_history(where_history() - yosys_history_offset, yosys_history_file.c_str());
179 } else
180 write_history(yosys_history_file.c_str());
181 #else
182 write_history(yosys_history_file.c_str());
183 #endif
184 }
185
186 clear_history();
187 #if defined(YOSYS_ENABLE_READLINE)
188 HIST_ENTRY **hist_list = history_list();
189 if (hist_list != NULL)
190 free(hist_list);
191 #endif
192 #endif
193 }
194
195 int main(int argc, char **argv)
196 {
197 std::string frontend_command = "auto";
198 std::string backend_command = "auto";
199 std::vector<std::string> vlog_defines;
200 std::vector<std::string> passes_commands;
201 std::vector<std::string> plugin_filenames;
202 std::string output_filename = "";
203 std::string scriptfile = "";
204 std::string depsfile = "";
205 bool scriptfile_tcl = false;
206 bool got_output_filename = false;
207 bool print_banner = true;
208 bool print_stats = true;
209 bool call_abort = false;
210 bool timing_details = false;
211 bool mode_v = false;
212 bool mode_q = false;
213
214 #if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE)
215 if (getenv("HOME") != NULL) {
216 yosys_history_file = stringf("%s/.yosys_history", getenv("HOME"));
217 read_history(yosys_history_file.c_str());
218 yosys_history_offset = where_history();
219 }
220 #endif
221
222 if (argc == 2 && (!strcmp(argv[1], "-h") || !strcmp(argv[1], "-help") || !strcmp(argv[1], "--help")))
223 {
224 printf("\n");
225 printf("Usage: %s [options] [<infile> [..]]\n", argv[0]);
226 printf("\n");
227 printf(" -Q\n");
228 printf(" suppress printing of banner (copyright, disclaimer, version)\n");
229 printf("\n");
230 printf(" -T\n");
231 printf(" suppress printing of footer (log hash, version, timing statistics)\n");
232 printf("\n");
233 printf(" -q\n");
234 printf(" quiet operation. only write warnings and error messages to console\n");
235 printf(" use this option twice to also quiet warning messages\n");
236 printf("\n");
237 printf(" -v <level>\n");
238 printf(" print log headers up to level <level> to the console. (this\n");
239 printf(" implies -q for everything except the 'End of script.' message.)\n");
240 printf("\n");
241 printf(" -t\n");
242 printf(" annotate all log messages with a time stamp\n");
243 printf("\n");
244 printf(" -d\n");
245 printf(" print more detailed timing stats at exit\n");
246 printf("\n");
247 printf(" -l logfile\n");
248 printf(" write log messages to the specified file\n");
249 printf("\n");
250 printf(" -L logfile\n");
251 printf(" like -l but open log file in line buffered mode\n");
252 printf("\n");
253 printf(" -o outfile\n");
254 printf(" write the design to the specified file on exit\n");
255 printf("\n");
256 printf(" -b backend\n");
257 printf(" use this backend for the output file specified on the command line\n");
258 printf("\n");
259 printf(" -f frontend\n");
260 printf(" use the specified frontend for the input files on the command line\n");
261 printf("\n");
262 printf(" -H\n");
263 printf(" print the command list\n");
264 printf("\n");
265 printf(" -h command\n");
266 printf(" print the help message for the specified command\n");
267 printf("\n");
268 printf(" -s scriptfile\n");
269 printf(" execute the commands in the script file\n");
270 #ifdef YOSYS_ENABLE_TCL
271 printf("\n");
272 printf(" -c tcl_scriptfile\n");
273 printf(" execute the commands in the tcl script file (see 'help tcl' for details)\n");
274 #endif
275 printf("\n");
276 printf(" -p command\n");
277 printf(" execute the commands\n");
278 printf("\n");
279 printf(" -m module_file\n");
280 printf(" load the specified module (aka plugin)\n");
281 printf("\n");
282 printf(" -X\n");
283 printf(" enable tracing of core data structure changes. for debugging\n");
284 printf("\n");
285 printf(" -M\n");
286 printf(" will slightly randomize allocated pointer addresses. for debugging\n");
287 printf("\n");
288 printf(" -A\n");
289 printf(" will call abort() at the end of the script. for debugging\n");
290 printf("\n");
291 printf(" -D <macro>[=<value>]\n");
292 printf(" set the specified Verilog define (via \"read -define\")\n");
293 printf("\n");
294 printf(" -P <header_id>[:<filename>]\n");
295 printf(" dump the design when printing the specified log header to a file.\n");
296 printf(" yosys_dump_<header_id>.il is used as filename if none is specified.\n");
297 printf(" Use 'ALL' as <header_id> to dump at every header.\n");
298 printf("\n");
299 printf(" -W regex\n");
300 printf(" print a warning for all log messages matching the regex.\n");
301 printf("\n");
302 printf(" -w regex\n");
303 printf(" if a warning message matches the regex, it is printed as regular\n");
304 printf(" message instead.\n");
305 printf("\n");
306 printf(" -e regex\n");
307 printf(" if a warning message matches the regex, it is printed as error\n");
308 printf(" message instead and the tool terminates with a nonzero return code.\n");
309 printf("\n");
310 printf(" -E <depsfile>\n");
311 printf(" write a Makefile dependencies file with in- and output file names\n");
312 printf("\n");
313 printf(" -x <feature>\n");
314 printf(" do not print warnings for the specified experimental feature\n");
315 printf("\n");
316 printf(" -g\n");
317 printf(" globally enable debug log messages\n");
318 printf("\n");
319 printf(" -V\n");
320 printf(" print version information and exit\n");
321 printf("\n");
322 printf("The option -S is an shortcut for calling the \"synth\" command, a default\n");
323 printf("script for transforming the Verilog input to a gate-level netlist. For example:\n");
324 printf("\n");
325 printf(" yosys -o output.blif -S input.v\n");
326 printf("\n");
327 printf("For more complex synthesis jobs it is recommended to use the read_* and write_*\n");
328 printf("commands in a script file instead of specifying input and output files on the\n");
329 printf("command line.\n");
330 printf("\n");
331 printf("When no commands, script files or input files are specified on the command\n");
332 printf("line, yosys automatically enters the interactive command mode. Use the 'help'\n");
333 printf("command to get information on the individual commands.\n");
334 printf("\n");
335 exit(0);
336 }
337
338 if (argc == 2 && (!strcmp(argv[1], "-V") || !strcmp(argv[1], "-version") || !strcmp(argv[1], "--version")))
339 {
340 printf("%s\n", yosys_version_str);
341 exit(0);
342 }
343
344 int opt;
345 while ((opt = getopt(argc, argv, "MXAQTVSgm:f:Hh:b:o:p:l:L:qv:tds:c:W:w:e:D:P:E:x:")) != -1)
346 {
347 switch (opt)
348 {
349 case 'M':
350 memhasher_on();
351 break;
352 case 'X':
353 yosys_xtrace++;
354 break;
355 case 'A':
356 call_abort = true;
357 break;
358 case 'Q':
359 print_banner = false;
360 break;
361 case 'T':
362 print_stats = false;
363 break;
364 case 'V':
365 printf("%s\n", yosys_version_str);
366 exit(0);
367 case 'S':
368 passes_commands.push_back("synth");
369 break;
370 case 'g':
371 log_force_debug++;
372 break;
373 case 'm':
374 plugin_filenames.push_back(optarg);
375 break;
376 case 'f':
377 frontend_command = optarg;
378 break;
379 case 'H':
380 passes_commands.push_back("help");
381 break;
382 case 'h':
383 passes_commands.push_back(stringf("help %s", optarg));
384 break;
385 case 'b':
386 backend_command = optarg;
387 break;
388 case 'p':
389 passes_commands.push_back(optarg);
390 break;
391 case 'o':
392 output_filename = optarg;
393 got_output_filename = true;
394 break;
395 case 'l':
396 case 'L':
397 log_files.push_back(fopen(optarg, "wt"));
398 if (log_files.back() == NULL) {
399 fprintf(stderr, "Can't open log file `%s' for writing!\n", optarg);
400 exit(1);
401 }
402 if (opt == 'L')
403 setvbuf(log_files.back(), NULL, _IOLBF, 0);
404 break;
405 case 'q':
406 mode_q = true;
407 if (log_errfile == stderr)
408 log_quiet_warnings = true;
409 log_errfile = stderr;
410 break;
411 case 'v':
412 mode_v = true;
413 log_errfile = stderr;
414 log_verbose_level = atoi(optarg);
415 break;
416 case 't':
417 log_time = true;
418 break;
419 case 'd':
420 timing_details = true;
421 break;
422 case 's':
423 scriptfile = optarg;
424 scriptfile_tcl = false;
425 break;
426 case 'c':
427 scriptfile = optarg;
428 scriptfile_tcl = true;
429 break;
430 case 'W':
431 log_warn_regexes.push_back(YS_REGEX_COMPILE(optarg));
432 break;
433 case 'w':
434 log_nowarn_regexes.push_back(YS_REGEX_COMPILE(optarg));
435 break;
436 case 'e':
437 log_werror_regexes.push_back(YS_REGEX_COMPILE(optarg));
438 break;
439 case 'D':
440 vlog_defines.push_back(optarg);
441 break;
442 case 'P':
443 {
444 auto args = split_tokens(optarg, ":");
445 if (!args.empty() && args[0] == "ALL") {
446 if (GetSize(args) != 1) {
447 fprintf(stderr, "Invalid number of tokens in -D ALL.\n");
448 exit(1);
449 }
450 log_hdump_all = true;
451 } else {
452 if (!args.empty() && !args[0].empty() && args[0].back() == '.')
453 args[0].pop_back();
454 if (GetSize(args) == 1)
455 args.push_back("yosys_dump_" + args[0] + ".il");
456 if (GetSize(args) != 2) {
457 fprintf(stderr, "Invalid number of tokens in -D.\n");
458 exit(1);
459 }
460 log_hdump[args[0]].insert(args[1]);
461 }
462 }
463 break;
464 case 'E':
465 depsfile = optarg;
466 break;
467 case 'x':
468 log_experimentals_ignored.insert(optarg);
469 break;
470 default:
471 fprintf(stderr, "Run '%s -h' for help.\n", argv[0]);
472 exit(1);
473 }
474 }
475
476 if (log_errfile == NULL) {
477 log_files.push_back(stdout);
478 log_error_stderr = true;
479 }
480
481 if (print_banner)
482 yosys_banner();
483
484 if (print_stats)
485 log_hasher = new SHA1;
486
487 #if defined(__linux__)
488 // set stack size to >= 128 MB
489 {
490 struct rlimit rl;
491 const rlim_t stack_size = 128L * 1024L * 1024L;
492 if (getrlimit(RLIMIT_STACK, &rl) == 0 && rl.rlim_cur < stack_size) {
493 rl.rlim_cur = stack_size;
494 setrlimit(RLIMIT_STACK, &rl);
495 }
496 }
497 #endif
498
499 yosys_setup();
500 #ifdef WITH_PYTHON
501 PyRun_SimpleString(("sys.path.append(\""+proc_self_dirname()+"\")").c_str());
502 PyRun_SimpleString(("sys.path.append(\""+proc_share_dirname()+"plugins\")").c_str());
503 #endif
504 log_error_atexit = yosys_atexit;
505
506 for (auto &fn : plugin_filenames)
507 load_plugin(fn, {});
508
509 if (optind == argc && passes_commands.size() == 0 && scriptfile.empty()) {
510 if (!got_output_filename)
511 backend_command = "";
512 shell(yosys_design);
513 }
514
515 if (!vlog_defines.empty()) {
516 std::string vdef_cmd = "read -define";
517 for (auto vdef : vlog_defines)
518 vdef_cmd += " " + vdef;
519 run_pass(vdef_cmd);
520 }
521
522 while (optind < argc)
523 run_frontend(argv[optind++], frontend_command, output_filename == "-" ? &backend_command : NULL);
524
525 if (!scriptfile.empty()) {
526 if (scriptfile_tcl) {
527 #ifdef YOSYS_ENABLE_TCL
528 if (Tcl_EvalFile(yosys_get_tcl_interp(), scriptfile.c_str()) != TCL_OK)
529 log_error("TCL interpreter returned an error: %s\n", Tcl_GetStringResult(yosys_get_tcl_interp()));
530 #else
531 log_error("Can't exectue TCL script: this version of yosys is not built with TCL support enabled.\n");
532 #endif
533 } else
534 run_frontend(scriptfile, "script", output_filename == "-" ? &backend_command : NULL);
535 }
536
537 for (auto it = passes_commands.begin(); it != passes_commands.end(); it++)
538 run_pass(*it);
539
540 if (!backend_command.empty())
541 run_backend(output_filename, backend_command);
542
543 yosys_design->check();
544 for (auto it : saved_designs)
545 it.second->check();
546 for (auto it : pushed_designs)
547 it->check();
548
549 if (!depsfile.empty())
550 {
551 FILE *f = fopen(depsfile.c_str(), "wt");
552 if (f == nullptr)
553 log_error("Can't open dependencies file for writing: %s\n", strerror(errno));
554 bool first = true;
555 for (auto fn : yosys_output_files) {
556 fprintf(f, "%s%s", first ? "" : " ", escape_filename_spaces(fn).c_str());
557 first = false;
558 }
559 fprintf(f, ":");
560 for (auto fn : yosys_input_files) {
561 if (yosys_output_files.count(fn) == 0)
562 fprintf(f, " %s", escape_filename_spaces(fn).c_str());
563 }
564 fprintf(f, "\n");
565 }
566
567 if (log_expect_no_warnings && log_warnings_count_noexpect)
568 log_error("Unexpected warnings found: %d unique messages, %d total, %d expected\n", GetSize(log_warnings),
569 log_warnings_count, log_warnings_count - log_warnings_count_noexpect);
570
571 if (print_stats)
572 {
573 std::string hash = log_hasher->final().substr(0, 10);
574 delete log_hasher;
575 log_hasher = nullptr;
576
577 log_time = false;
578 yosys_xtrace = 0;
579 log_spacer();
580
581 if (mode_v && !mode_q)
582 log_files.push_back(stderr);
583
584 if (log_warnings_count)
585 log("Warnings: %d unique messages, %d total\n", GetSize(log_warnings), log_warnings_count);
586
587 if (!log_experimentals.empty())
588 log("Warnings: %d experimental features used (not excluded with -x).\n", GetSize(log_experimentals));
589
590 #ifdef _WIN32
591 log("End of script. Logfile hash: %s\n", hash.c_str());
592 #else
593 std::string meminfo;
594 std::string stats_divider = ", ";
595
596 struct rusage ru_buffer;
597 getrusage(RUSAGE_SELF, &ru_buffer);
598 if (yosys_design->scratchpad_get_bool("print_stats.include_children")) {
599 struct rusage ru_buffer_children;
600 getrusage(RUSAGE_CHILDREN, &ru_buffer_children);
601 ru_buffer.ru_utime.tv_sec += ru_buffer_children.ru_utime.tv_sec;
602 ru_buffer.ru_utime.tv_usec += ru_buffer_children.ru_utime.tv_usec;
603 ru_buffer.ru_stime.tv_sec += ru_buffer_children.ru_stime.tv_sec;
604 ru_buffer.ru_stime.tv_usec += ru_buffer_children.ru_stime.tv_usec;
605 #if defined(__linux__) || defined(__FreeBSD__)
606 ru_buffer.ru_maxrss = std::max(ru_buffer.ru_maxrss, ru_buffer_children.ru_maxrss);
607 #endif
608 }
609 #if defined(__linux__) || defined(__FreeBSD__)
610 meminfo = stringf(", MEM: %.2f MB peak",
611 ru_buffer.ru_maxrss / 1024.0);
612 #endif
613 log("End of script. Logfile hash: %s%sCPU: user %.2fs system %.2fs%s\n", hash.c_str(),
614 stats_divider.c_str(), ru_buffer.ru_utime.tv_sec + 1e-6 * ru_buffer.ru_utime.tv_usec,
615 ru_buffer.ru_stime.tv_sec + 1e-6 * ru_buffer.ru_stime.tv_usec, meminfo.c_str());
616 #endif
617 log("%s\n", yosys_version_str);
618
619 int64_t total_ns = 0;
620 std::set<tuple<int64_t, int, std::string>> timedat;
621
622 for (auto &it : pass_register)
623 if (it.second->call_counter) {
624 total_ns += it.second->runtime_ns + 1;
625 timedat.insert(make_tuple(it.second->runtime_ns + 1, it.second->call_counter, it.first));
626 }
627
628 if (timing_details)
629 {
630 log("Time spent:\n");
631 for (auto it = timedat.rbegin(); it != timedat.rend(); it++) {
632 log("%5d%% %5d calls %8.3f sec %s\n", int(100*std::get<0>(*it) / total_ns),
633 std::get<1>(*it), std::get<0>(*it) / 1000000000.0, std::get<2>(*it).c_str());
634 }
635 }
636 else
637 {
638 int out_count = 0;
639 log("Time spent:");
640 for (auto it = timedat.rbegin(); it != timedat.rend() && out_count < 4; it++, out_count++) {
641 if (out_count >= 2 && (std::get<0>(*it) < 1000000000 || int(100*std::get<0>(*it) / total_ns) < 20)) {
642 log(", ...");
643 break;
644 }
645 log("%s %d%% %dx %s (%d sec)", out_count ? "," : "", int(100*std::get<0>(*it) / total_ns),
646 std::get<1>(*it), std::get<2>(*it).c_str(), int(std::get<0>(*it) / 1000000000));
647 }
648 log("%s\n", out_count ? "" : " no commands executed");
649 }
650 }
651
652 #if defined(YOSYS_ENABLE_COVER) && (defined(__linux__) || defined(__FreeBSD__))
653 if (getenv("YOSYS_COVER_DIR") || getenv("YOSYS_COVER_FILE"))
654 {
655 string filename;
656 FILE *f;
657
658 if (getenv("YOSYS_COVER_DIR")) {
659 filename = stringf("%s/yosys_cover_%d_XXXXXX.txt", getenv("YOSYS_COVER_DIR"), getpid());
660 filename = make_temp_file(filename);
661 } else {
662 filename = getenv("YOSYS_COVER_FILE");
663 }
664
665 f = fopen(filename.c_str(), "a+");
666
667 if (f == NULL)
668 log_error("Can't create coverage file `%s'.\n", filename.c_str());
669
670 log("<writing coverage file \"%s\">\n", filename.c_str());
671
672 for (auto &it : get_coverage_data())
673 fprintf(f, "%-60s %10d %s\n", it.second.first.c_str(), it.second.second, it.first.c_str());
674
675 fclose(f);
676 }
677 #endif
678
679 log_check_expected();
680
681 yosys_atexit();
682
683 memhasher_off();
684 if (call_abort)
685 abort();
686
687 log_flush();
688 #if defined(_MSC_VER)
689 _exit(0);
690 #elif defined(_WIN32)
691 _Exit(0);
692 #endif
693
694 yosys_shutdown();
695
696 return 0;
697 }
698
699 #endif /* EMSCRIPTEN */
700