Merge remote-tracking branch 'origin/master' into xc7mux
[yosys.git] / kernel / driver.cc
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 */
19
20 #include "kernel/yosys.h"
21 #include "libs/sha1/sha1.h"
22
23 #ifdef YOSYS_ENABLE_READLINE
24 # include <readline/readline.h>
25 # include <readline/history.h>
26 #endif
27
28 #ifdef YOSYS_ENABLE_EDITLINE
29 # include <editline/readline.h>
30 #endif
31
32 #include <stdio.h>
33 #include <string.h>
34 #include <limits.h>
35 #include <errno.h>
36
37 #if defined (__linux__) || defined(__FreeBSD__)
38 # include <sys/resource.h>
39 # include <sys/types.h>
40 # include <unistd.h>
41 #endif
42
43 #ifdef __FreeBSD__
44 # include <sys/sysctl.h>
45 # include <sys/user.h>
46 #endif
47
48 #if !defined(_WIN32) || defined(__MINGW32__)
49 # include <unistd.h>
50 #else
51 char *optarg;
52 int optind = 1, optcur = 1;
53 int getopt(int argc, char **argv, const char *optstring)
54 {
55 if (optind >= argc || argv[optind][0] != '-')
56 return -1;
57
58 bool takes_arg = false;
59 int opt = argv[optind][optcur];
60 for (int i = 0; optstring[i]; i++)
61 if (opt == optstring[i] && optstring[i + 1] == ':')
62 takes_arg = true;
63
64 if (!takes_arg) {
65 if (argv[optind][++optcur] == 0)
66 optind++, optcur = 1;
67 return opt;
68 }
69
70 if (argv[optind][++optcur]) {
71 optarg = argv[optind++] + optcur;
72 optcur = 1;
73 return opt;
74 }
75
76 optarg = argv[++optind];
77 optind++, optcur = 1;
78 return opt;
79 }
80 #endif
81
82
83 USING_YOSYS_NAMESPACE
84
85 #ifdef EMSCRIPTEN
86 # include <sys/stat.h>
87 # include <sys/types.h>
88 # include <emscripten.h>
89
90 extern "C" int main(int, char**);
91 extern "C" void run(const char*);
92 extern "C" const char *errmsg();
93 extern "C" const char *prompt();
94
95 int main(int argc, char **argv)
96 {
97 EM_ASM(
98 if (ENVIRONMENT_IS_NODE)
99 {
100 FS.mkdir('/hostcwd');
101 FS.mount(NODEFS, { root: '.' }, '/hostcwd');
102 FS.mkdir('/hostfs');
103 FS.mount(NODEFS, { root: '/' }, '/hostfs');
104 }
105 );
106
107 mkdir("/work", 0777);
108 chdir("/work");
109 log_files.push_back(stdout);
110 log_error_stderr = true;
111 yosys_banner();
112 yosys_setup();
113 #ifdef WITH_PYTHON
114 PyRun_SimpleString(("sys.path.append(\""+proc_self_dirname()+"\")").c_str());
115 PyRun_SimpleString(("sys.path.append(\""+proc_share_dirname()+"plugins\")").c_str());
116 #endif
117
118 if (argc == 2)
119 {
120 // Run the first argument as a script file
121 run_frontend(argv[1], "script", 0, 0, 0);
122 }
123 }
124
125 void run(const char *command)
126 {
127 int selSize = GetSize(yosys_get_design()->selection_stack);
128 try {
129 log_last_error = "Internal error (see JavaScript console for details)";
130 run_pass(command);
131 log_last_error = "";
132 } catch (...) {
133 while (GetSize(yosys_get_design()->selection_stack) > selSize)
134 yosys_get_design()->selection_stack.pop_back();
135 throw;
136 }
137 }
138
139 const char *errmsg()
140 {
141 return log_last_error.c_str();
142 }
143
144 const char *prompt()
145 {
146 const char *p = create_prompt(yosys_get_design(), 0);
147 while (*p == '\n') p++;
148 return p;
149 }
150
151 #else /* EMSCRIPTEN */
152
153 #if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE)
154 int yosys_history_offset = 0;
155 std::string yosys_history_file;
156 #endif
157
158 void yosys_atexit()
159 {
160 #if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE)
161 if (!yosys_history_file.empty()) {
162 #if defined(YOSYS_ENABLE_READLINE)
163 if (yosys_history_offset > 0) {
164 history_truncate_file(yosys_history_file.c_str(), 100);
165 append_history(where_history() - yosys_history_offset, yosys_history_file.c_str());
166 } else
167 write_history(yosys_history_file.c_str());
168 #else
169 write_history(yosys_history_file.c_str());
170 #endif
171 }
172
173 clear_history();
174 #if defined(YOSYS_ENABLE_READLINE)
175 HIST_ENTRY **hist_list = history_list();
176 if (hist_list != NULL)
177 free(hist_list);
178 #endif
179 #endif
180 }
181
182 int main(int argc, char **argv)
183 {
184 std::string frontend_command = "auto";
185 std::string backend_command = "auto";
186 std::vector<std::string> vlog_defines;
187 std::vector<std::string> passes_commands;
188 std::vector<std::string> plugin_filenames;
189 std::string output_filename = "";
190 std::string scriptfile = "";
191 std::string depsfile = "";
192 bool scriptfile_tcl = false;
193 bool got_output_filename = false;
194 bool print_banner = true;
195 bool print_stats = true;
196 bool call_abort = false;
197 bool timing_details = false;
198 bool mode_v = false;
199 bool mode_q = false;
200
201 #if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE)
202 if (getenv("HOME") != NULL) {
203 yosys_history_file = stringf("%s/.yosys_history", getenv("HOME"));
204 read_history(yosys_history_file.c_str());
205 yosys_history_offset = where_history();
206 }
207 #endif
208
209 if (argc == 2 && (!strcmp(argv[1], "-h") || !strcmp(argv[1], "-help") || !strcmp(argv[1], "--help")))
210 {
211 printf("\n");
212 printf("Usage: %s [options] [<infile> [..]]\n", argv[0]);
213 printf("\n");
214 printf(" -Q\n");
215 printf(" suppress printing of banner (copyright, disclaimer, version)\n");
216 printf("\n");
217 printf(" -T\n");
218 printf(" suppress printing of footer (log hash, version, timing statistics)\n");
219 printf("\n");
220 printf(" -q\n");
221 printf(" quiet operation. only write warnings and error messages to console\n");
222 printf(" use this option twice to also quiet warning messages\n");
223 printf("\n");
224 printf(" -v <level>\n");
225 printf(" print log headers up to level <level> to the console. (this\n");
226 printf(" implies -q for everything except the 'End of script.' message.)\n");
227 printf("\n");
228 printf(" -t\n");
229 printf(" annotate all log messages with a time stamp\n");
230 printf("\n");
231 printf(" -d\n");
232 printf(" print more detailed timing stats at exit\n");
233 printf("\n");
234 printf(" -l logfile\n");
235 printf(" write log messages to the specified file\n");
236 printf("\n");
237 printf(" -L logfile\n");
238 printf(" like -l but open log file in line buffered mode\n");
239 printf("\n");
240 printf(" -o outfile\n");
241 printf(" write the design to the specified file on exit\n");
242 printf("\n");
243 printf(" -b backend\n");
244 printf(" use this backend for the output file specified on the command line\n");
245 printf("\n");
246 printf(" -f frontend\n");
247 printf(" use the specified frontend for the input files on the command line\n");
248 printf("\n");
249 printf(" -H\n");
250 printf(" print the command list\n");
251 printf("\n");
252 printf(" -h command\n");
253 printf(" print the help message for the specified command\n");
254 printf("\n");
255 printf(" -s scriptfile\n");
256 printf(" execute the commands in the script file\n");
257 printf("\n");
258 printf(" -c tcl_scriptfile\n");
259 printf(" execute the commands in the tcl script file (see 'help tcl' for details)\n");
260 printf("\n");
261 printf(" -p command\n");
262 printf(" execute the commands\n");
263 printf("\n");
264 printf(" -m module_file\n");
265 printf(" load the specified module (aka plugin)\n");
266 printf("\n");
267 printf(" -X\n");
268 printf(" enable tracing of core data structure changes. for debugging\n");
269 printf("\n");
270 printf(" -M\n");
271 printf(" will slightly randomize allocated pointer addresses. for debugging\n");
272 printf("\n");
273 printf(" -A\n");
274 printf(" will call abort() at the end of the script. for debugging\n");
275 printf("\n");
276 printf(" -D <macro>[=<value>]\n");
277 printf(" set the specified Verilog define (via \"read -define\")\n");
278 printf("\n");
279 printf(" -P <header_id>[:<filename>]\n");
280 printf(" dump the design when printing the specified log header to a file.\n");
281 printf(" yosys_dump_<header_id>.il is used as filename if none is specified.\n");
282 printf(" Use 'ALL' as <header_id> to dump at every header.\n");
283 printf("\n");
284 printf(" -W regex\n");
285 printf(" print a warning for all log messages matching the regex.\n");
286 printf("\n");
287 printf(" -w regex\n");
288 printf(" if a warning message matches the regex, it is printed as regular\n");
289 printf(" message instead.\n");
290 printf("\n");
291 printf(" -e regex\n");
292 printf(" if a warning message matches the regex, it is printed as error\n");
293 printf(" message instead and the tool terminates with a nonzero return code.\n");
294 printf("\n");
295 printf(" -E <depsfile>\n");
296 printf(" write a Makefile dependencies file with in- and output file names\n");
297 printf("\n");
298 printf(" -g\n");
299 printf(" globally enable debug log messages\n");
300 printf("\n");
301 printf(" -V\n");
302 printf(" print version information and exit\n");
303 printf("\n");
304 printf("The option -S is an shortcut for calling the \"synth\" command, a default\n");
305 printf("script for transforming the Verilog input to a gate-level netlist. For example:\n");
306 printf("\n");
307 printf(" yosys -o output.blif -S input.v\n");
308 printf("\n");
309 printf("For more complex synthesis jobs it is recommended to use the read_* and write_*\n");
310 printf("commands in a script file instead of specifying input and output files on the\n");
311 printf("command line.\n");
312 printf("\n");
313 printf("When no commands, script files or input files are specified on the command\n");
314 printf("line, yosys automatically enters the interactive command mode. Use the 'help'\n");
315 printf("command to get information on the individual commands.\n");
316 printf("\n");
317 exit(0);
318 }
319
320 int opt;
321 while ((opt = getopt(argc, argv, "MXAQTVSgm:f:Hh:b:o:p:l:L:qv:tds:c:W:w:e:D:P:E:")) != -1)
322 {
323 switch (opt)
324 {
325 case 'M':
326 memhasher_on();
327 break;
328 case 'X':
329 yosys_xtrace++;
330 break;
331 case 'A':
332 call_abort = true;
333 break;
334 case 'Q':
335 print_banner = false;
336 break;
337 case 'T':
338 print_stats = false;
339 break;
340 case 'V':
341 printf("%s\n", yosys_version_str);
342 exit(0);
343 case 'S':
344 passes_commands.push_back("synth");
345 break;
346 case 'g':
347 log_force_debug++;
348 break;
349 case 'm':
350 plugin_filenames.push_back(optarg);
351 break;
352 case 'f':
353 frontend_command = optarg;
354 break;
355 case 'H':
356 passes_commands.push_back("help");
357 break;
358 case 'h':
359 passes_commands.push_back(stringf("help %s", optarg));
360 break;
361 case 'b':
362 backend_command = optarg;
363 break;
364 case 'p':
365 passes_commands.push_back(optarg);
366 break;
367 case 'o':
368 output_filename = optarg;
369 got_output_filename = true;
370 break;
371 case 'l':
372 case 'L':
373 log_files.push_back(fopen(optarg, "wt"));
374 if (log_files.back() == NULL) {
375 fprintf(stderr, "Can't open log file `%s' for writing!\n", optarg);
376 exit(1);
377 }
378 if (opt == 'L')
379 setvbuf(log_files.back(), NULL, _IOLBF, 0);
380 break;
381 case 'q':
382 mode_q = true;
383 if (log_errfile == stderr)
384 log_quiet_warnings = true;
385 log_errfile = stderr;
386 break;
387 case 'v':
388 mode_v = true;
389 log_errfile = stderr;
390 log_verbose_level = atoi(optarg);
391 break;
392 case 't':
393 log_time = true;
394 break;
395 case 'd':
396 timing_details = true;
397 break;
398 case 's':
399 scriptfile = optarg;
400 scriptfile_tcl = false;
401 break;
402 case 'c':
403 scriptfile = optarg;
404 scriptfile_tcl = true;
405 break;
406 case 'W':
407 log_warn_regexes.push_back(std::regex(optarg,
408 std::regex_constants::nosubs |
409 std::regex_constants::optimize |
410 std::regex_constants::egrep));
411 break;
412 case 'w':
413 log_nowarn_regexes.push_back(std::regex(optarg,
414 std::regex_constants::nosubs |
415 std::regex_constants::optimize |
416 std::regex_constants::egrep));
417 break;
418 case 'e':
419 log_werror_regexes.push_back(std::regex(optarg,
420 std::regex_constants::nosubs |
421 std::regex_constants::optimize |
422 std::regex_constants::egrep));
423 break;
424 case 'D':
425 vlog_defines.push_back(optarg);
426 break;
427 case 'P':
428 {
429 auto args = split_tokens(optarg, ":");
430 if (!args.empty() && args[0] == "ALL") {
431 if (GetSize(args) != 1) {
432 fprintf(stderr, "Invalid number of tokens in -D ALL.\n");
433 exit(1);
434 }
435 log_hdump_all = true;
436 } else {
437 if (!args.empty() && !args[0].empty() && args[0].back() == '.')
438 args[0].pop_back();
439 if (GetSize(args) == 1)
440 args.push_back("yosys_dump_" + args[0] + ".il");
441 if (GetSize(args) != 2) {
442 fprintf(stderr, "Invalid number of tokens in -D.\n");
443 exit(1);
444 }
445 log_hdump[args[0]].insert(args[1]);
446 }
447 }
448 break;
449 case 'E':
450 depsfile = optarg;
451 break;
452 default:
453 fprintf(stderr, "Run '%s -h' for help.\n", argv[0]);
454 exit(1);
455 }
456 }
457
458 if (log_errfile == NULL) {
459 log_files.push_back(stdout);
460 log_error_stderr = true;
461 }
462
463 if (print_banner)
464 yosys_banner();
465
466 if (print_stats)
467 log_hasher = new SHA1;
468
469 #if defined(__linux__)
470 // set stack size to >= 128 MB
471 {
472 struct rlimit rl;
473 const rlim_t stack_size = 128L * 1024L * 1024L;
474 if (getrlimit(RLIMIT_STACK, &rl) == 0 && rl.rlim_cur < stack_size) {
475 rl.rlim_cur = stack_size;
476 setrlimit(RLIMIT_STACK, &rl);
477 }
478 }
479 #endif
480
481 yosys_setup();
482 #ifdef WITH_PYTHON
483 PyRun_SimpleString(("sys.path.append(\""+proc_self_dirname()+"\")").c_str());
484 PyRun_SimpleString(("sys.path.append(\""+proc_share_dirname()+"plugins\")").c_str());
485 #endif
486 log_error_atexit = yosys_atexit;
487
488 for (auto &fn : plugin_filenames)
489 load_plugin(fn, {});
490
491 if (optind == argc && passes_commands.size() == 0 && scriptfile.empty()) {
492 if (!got_output_filename)
493 backend_command = "";
494 shell(yosys_design);
495 }
496
497 if (!vlog_defines.empty()) {
498 std::string vdef_cmd = "read -define";
499 for (auto vdef : vlog_defines)
500 vdef_cmd += " " + vdef;
501 run_pass(vdef_cmd);
502 }
503
504 while (optind < argc)
505 run_frontend(argv[optind++], frontend_command, output_filename == "-" ? &backend_command : NULL);
506
507 if (!scriptfile.empty()) {
508 if (scriptfile_tcl) {
509 #ifdef YOSYS_ENABLE_TCL
510 if (Tcl_EvalFile(yosys_get_tcl_interp(), scriptfile.c_str()) != TCL_OK)
511 log_error("TCL interpreter returned an error: %s\n", Tcl_GetStringResult(yosys_get_tcl_interp()));
512 #else
513 log_error("Can't exectue TCL script: this version of yosys is not built with TCL support enabled.\n");
514 #endif
515 } else
516 run_frontend(scriptfile, "script", output_filename == "-" ? &backend_command : NULL);
517 }
518
519 for (auto it = passes_commands.begin(); it != passes_commands.end(); it++)
520 run_pass(*it);
521
522 if (!backend_command.empty())
523 run_backend(output_filename, backend_command);
524
525 if (!depsfile.empty())
526 {
527 FILE *f = fopen(depsfile.c_str(), "wt");
528 if (f == nullptr)
529 log_error("Can't open dependencies file for writing: %s\n", strerror(errno));
530 bool first = true;
531 for (auto fn : yosys_output_files) {
532 fprintf(f, "%s%s", first ? "" : " ", escape_filename_spaces(fn).c_str());
533 first = false;
534 }
535 fprintf(f, ":");
536 for (auto fn : yosys_input_files) {
537 if (yosys_output_files.count(fn) == 0)
538 fprintf(f, " %s", escape_filename_spaces(fn).c_str());
539 }
540 fprintf(f, "\n");
541 }
542
543 if (print_stats)
544 {
545 std::string hash = log_hasher->final().substr(0, 10);
546 delete log_hasher;
547 log_hasher = nullptr;
548
549 log_time = false;
550 yosys_xtrace = 0;
551 log_spacer();
552
553 if (mode_v && !mode_q)
554 log_files.push_back(stderr);
555
556 if (log_warnings_count)
557 log("Warnings: %d unique messages, %d total\n", GetSize(log_warnings), log_warnings_count);
558 #ifdef _WIN32
559 log("End of script. Logfile hash: %s\n", hash.c_str());
560 #else
561 std::string meminfo;
562 std::string stats_divider = ", ";
563 # if defined(__linux__)
564 std::ifstream statm;
565 statm.open(stringf("/proc/%lld/statm", (long long)getpid()));
566 if (statm.is_open()) {
567 int sz_total, sz_resident;
568 statm >> sz_total >> sz_resident;
569 meminfo = stringf(", MEM: %.2f MB total, %.2f MB resident",
570 sz_total * (getpagesize() / 1024.0 / 1024.0),
571 sz_resident * (getpagesize() / 1024.0 / 1024.0));
572 stats_divider = "\n";
573 }
574 # elif defined(__FreeBSD__)
575 pid_t pid = getpid();
576 int mib[4] = {CTL_KERN, KERN_PROC, KERN_PROC_PID, (int)pid};
577 struct kinfo_proc kip;
578 size_t kip_len = sizeof(kip);
579 if (sysctl(mib, 4, &kip, &kip_len, NULL, 0) == 0) {
580 vm_size_t sz_total = kip.ki_size;
581 segsz_t sz_resident = kip.ki_rssize;
582 meminfo = stringf(", MEM: %.2f MB total, %.2f MB resident",
583 (int)sz_total / 1024.0 / 1024.0,
584 (int)sz_resident * (getpagesize() / 1024.0 / 1024.0));
585 stats_divider = "\n";
586 }
587 # endif
588
589 struct rusage ru_buffer;
590 getrusage(RUSAGE_SELF, &ru_buffer);
591 log("End of script. Logfile hash: %s%sCPU: user %.2fs system %.2fs%s\n", hash.c_str(),
592 stats_divider.c_str(), ru_buffer.ru_utime.tv_sec + 1e-6 * ru_buffer.ru_utime.tv_usec,
593 ru_buffer.ru_stime.tv_sec + 1e-6 * ru_buffer.ru_stime.tv_usec, meminfo.c_str());
594 #endif
595 log("%s\n", yosys_version_str);
596
597 int64_t total_ns = 0;
598 std::set<tuple<int64_t, int, std::string>> timedat;
599
600 for (auto &it : pass_register)
601 if (it.second->call_counter) {
602 total_ns += it.second->runtime_ns + 1;
603 timedat.insert(make_tuple(it.second->runtime_ns + 1, it.second->call_counter, it.first));
604 }
605
606 if (timing_details)
607 {
608 log("Time spent:\n");
609 for (auto it = timedat.rbegin(); it != timedat.rend(); it++) {
610 log("%5d%% %5d calls %8.3f sec %s\n", int(100*std::get<0>(*it) / total_ns),
611 std::get<1>(*it), std::get<0>(*it) / 1000000000.0, std::get<2>(*it).c_str());
612 }
613 }
614 else
615 {
616 int out_count = 0;
617 log("Time spent:");
618 for (auto it = timedat.rbegin(); it != timedat.rend() && out_count < 4; it++, out_count++) {
619 if (out_count >= 2 && (std::get<0>(*it) < 1000000000 || int(100*std::get<0>(*it) / total_ns) < 20)) {
620 log(", ...");
621 break;
622 }
623 log("%s %d%% %dx %s (%d sec)", out_count ? "," : "", int(100*std::get<0>(*it) / total_ns),
624 std::get<1>(*it), std::get<2>(*it).c_str(), int(std::get<0>(*it) / 1000000000));
625 }
626 log("%s\n", out_count ? "" : " no commands executed");
627 }
628 }
629
630 #if defined(YOSYS_ENABLE_COVER) && (defined(__linux__) || defined(__FreeBSD__))
631 if (getenv("YOSYS_COVER_DIR") || getenv("YOSYS_COVER_FILE"))
632 {
633 string filename;
634 FILE *f;
635
636 if (getenv("YOSYS_COVER_DIR")) {
637 filename = stringf("%s/yosys_cover_%d_XXXXXX.txt", getenv("YOSYS_COVER_DIR"), getpid());
638 filename = make_temp_file(filename);
639 } else {
640 filename = getenv("YOSYS_COVER_FILE");
641 }
642
643 f = fopen(filename.c_str(), "a+");
644
645 if (f == NULL)
646 log_error("Can't create coverage file `%s'.\n", filename.c_str());
647
648 log("<writing coverage file \"%s\">\n", filename.c_str());
649
650 for (auto &it : get_coverage_data())
651 fprintf(f, "%-60s %10d %s\n", it.second.first.c_str(), it.second.second, it.first.c_str());
652
653 fclose(f);
654 }
655 #endif
656
657 yosys_atexit();
658
659 memhasher_off();
660 if (call_abort)
661 abort();
662
663 log_flush();
664 #if defined(_MSC_VER)
665 _exit(0);
666 #elif defined(_WIN32)
667 _Exit(0);
668 #endif
669
670 yosys_shutdown();
671
672 return 0;
673 }
674
675 #endif /* EMSCRIPTEN */
676