Merge pull request #1519 from YosysHQ/eddie/submod_po
[yosys.git] / kernel / driver.cc
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 */
19
20 #include "kernel/yosys.h"
21 #include "libs/sha1/sha1.h"
22
23 #ifdef YOSYS_ENABLE_READLINE
24 # include <readline/readline.h>
25 # include <readline/history.h>
26 #endif
27
28 #ifdef YOSYS_ENABLE_EDITLINE
29 # include <editline/readline.h>
30 #endif
31
32 #include <stdio.h>
33 #include <string.h>
34 #include <limits.h>
35 #include <errno.h>
36
37 #if defined (__linux__) || defined(__FreeBSD__)
38 # include <sys/resource.h>
39 # include <sys/types.h>
40 # include <unistd.h>
41 #endif
42
43 #ifdef __FreeBSD__
44 # include <sys/sysctl.h>
45 # include <sys/user.h>
46 #endif
47
48 #if !defined(_WIN32) || defined(__MINGW32__)
49 # include <unistd.h>
50 #else
51 char *optarg;
52 int optind = 1, optcur = 1;
53 int getopt(int argc, char **argv, const char *optstring)
54 {
55 if (optind >= argc || argv[optind][0] != '-')
56 return -1;
57
58 bool takes_arg = false;
59 int opt = argv[optind][optcur];
60 for (int i = 0; optstring[i]; i++)
61 if (opt == optstring[i] && optstring[i + 1] == ':')
62 takes_arg = true;
63
64 if (!takes_arg) {
65 if (argv[optind][++optcur] == 0)
66 optind++, optcur = 1;
67 return opt;
68 }
69
70 if (argv[optind][++optcur]) {
71 optarg = argv[optind++] + optcur;
72 optcur = 1;
73 return opt;
74 }
75
76 optarg = argv[++optind];
77 optind++, optcur = 1;
78 return opt;
79 }
80 #endif
81
82
83 USING_YOSYS_NAMESPACE
84
85 #ifdef EMSCRIPTEN
86 # include <sys/stat.h>
87 # include <sys/types.h>
88 # include <emscripten.h>
89
90 extern "C" int main(int, char**);
91 extern "C" void run(const char*);
92 extern "C" const char *errmsg();
93 extern "C" const char *prompt();
94
95 int main(int argc, char **argv)
96 {
97 EM_ASM(
98 if (ENVIRONMENT_IS_NODE)
99 {
100 FS.mkdir('/hostcwd');
101 FS.mount(NODEFS, { root: '.' }, '/hostcwd');
102 FS.mkdir('/hostfs');
103 FS.mount(NODEFS, { root: '/' }, '/hostfs');
104 }
105 );
106
107 mkdir("/work", 0777);
108 chdir("/work");
109 log_files.push_back(stdout);
110 log_error_stderr = true;
111 yosys_banner();
112 yosys_setup();
113 #ifdef WITH_PYTHON
114 PyRun_SimpleString(("sys.path.append(\""+proc_self_dirname()+"\")").c_str());
115 PyRun_SimpleString(("sys.path.append(\""+proc_share_dirname()+"plugins\")").c_str());
116 #endif
117
118 if (argc == 2)
119 {
120 // Run the first argument as a script file
121 run_frontend(argv[1], "script", 0, 0, 0);
122 }
123 }
124
125 void run(const char *command)
126 {
127 int selSize = GetSize(yosys_get_design()->selection_stack);
128 try {
129 log_last_error = "Internal error (see JavaScript console for details)";
130 run_pass(command);
131 log_last_error = "";
132 } catch (...) {
133 while (GetSize(yosys_get_design()->selection_stack) > selSize)
134 yosys_get_design()->selection_stack.pop_back();
135 throw;
136 }
137 }
138
139 const char *errmsg()
140 {
141 return log_last_error.c_str();
142 }
143
144 const char *prompt()
145 {
146 const char *p = create_prompt(yosys_get_design(), 0);
147 while (*p == '\n') p++;
148 return p;
149 }
150
151 #else /* EMSCRIPTEN */
152
153 #if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE)
154 int yosys_history_offset = 0;
155 std::string yosys_history_file;
156 #endif
157
158 void yosys_atexit()
159 {
160 #if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE)
161 if (!yosys_history_file.empty()) {
162 #if defined(YOSYS_ENABLE_READLINE)
163 if (yosys_history_offset > 0) {
164 history_truncate_file(yosys_history_file.c_str(), 100);
165 append_history(where_history() - yosys_history_offset, yosys_history_file.c_str());
166 } else
167 write_history(yosys_history_file.c_str());
168 #else
169 write_history(yosys_history_file.c_str());
170 #endif
171 }
172
173 clear_history();
174 #if defined(YOSYS_ENABLE_READLINE)
175 HIST_ENTRY **hist_list = history_list();
176 if (hist_list != NULL)
177 free(hist_list);
178 #endif
179 #endif
180 }
181
182 int main(int argc, char **argv)
183 {
184 std::string frontend_command = "auto";
185 std::string backend_command = "auto";
186 std::vector<std::string> vlog_defines;
187 std::vector<std::string> passes_commands;
188 std::vector<std::string> plugin_filenames;
189 std::string output_filename = "";
190 std::string scriptfile = "";
191 std::string depsfile = "";
192 bool scriptfile_tcl = false;
193 bool got_output_filename = false;
194 bool print_banner = true;
195 bool print_stats = true;
196 bool call_abort = false;
197 bool timing_details = false;
198 bool mode_v = false;
199 bool mode_q = false;
200
201 #if defined(YOSYS_ENABLE_READLINE) || defined(YOSYS_ENABLE_EDITLINE)
202 if (getenv("HOME") != NULL) {
203 yosys_history_file = stringf("%s/.yosys_history", getenv("HOME"));
204 read_history(yosys_history_file.c_str());
205 yosys_history_offset = where_history();
206 }
207 #endif
208
209 if (argc == 2 && (!strcmp(argv[1], "-h") || !strcmp(argv[1], "-help") || !strcmp(argv[1], "--help")))
210 {
211 printf("\n");
212 printf("Usage: %s [options] [<infile> [..]]\n", argv[0]);
213 printf("\n");
214 printf(" -Q\n");
215 printf(" suppress printing of banner (copyright, disclaimer, version)\n");
216 printf("\n");
217 printf(" -T\n");
218 printf(" suppress printing of footer (log hash, version, timing statistics)\n");
219 printf("\n");
220 printf(" -q\n");
221 printf(" quiet operation. only write warnings and error messages to console\n");
222 printf(" use this option twice to also quiet warning messages\n");
223 printf("\n");
224 printf(" -v <level>\n");
225 printf(" print log headers up to level <level> to the console. (this\n");
226 printf(" implies -q for everything except the 'End of script.' message.)\n");
227 printf("\n");
228 printf(" -t\n");
229 printf(" annotate all log messages with a time stamp\n");
230 printf("\n");
231 printf(" -d\n");
232 printf(" print more detailed timing stats at exit\n");
233 printf("\n");
234 printf(" -l logfile\n");
235 printf(" write log messages to the specified file\n");
236 printf("\n");
237 printf(" -L logfile\n");
238 printf(" like -l but open log file in line buffered mode\n");
239 printf("\n");
240 printf(" -o outfile\n");
241 printf(" write the design to the specified file on exit\n");
242 printf("\n");
243 printf(" -b backend\n");
244 printf(" use this backend for the output file specified on the command line\n");
245 printf("\n");
246 printf(" -f frontend\n");
247 printf(" use the specified frontend for the input files on the command line\n");
248 printf("\n");
249 printf(" -H\n");
250 printf(" print the command list\n");
251 printf("\n");
252 printf(" -h command\n");
253 printf(" print the help message for the specified command\n");
254 printf("\n");
255 printf(" -s scriptfile\n");
256 printf(" execute the commands in the script file\n");
257 printf("\n");
258 printf(" -c tcl_scriptfile\n");
259 printf(" execute the commands in the tcl script file (see 'help tcl' for details)\n");
260 printf("\n");
261 printf(" -p command\n");
262 printf(" execute the commands\n");
263 printf("\n");
264 printf(" -m module_file\n");
265 printf(" load the specified module (aka plugin)\n");
266 printf("\n");
267 printf(" -X\n");
268 printf(" enable tracing of core data structure changes. for debugging\n");
269 printf("\n");
270 printf(" -M\n");
271 printf(" will slightly randomize allocated pointer addresses. for debugging\n");
272 printf("\n");
273 printf(" -A\n");
274 printf(" will call abort() at the end of the script. for debugging\n");
275 printf("\n");
276 printf(" -D <macro>[=<value>]\n");
277 printf(" set the specified Verilog define (via \"read -define\")\n");
278 printf("\n");
279 printf(" -P <header_id>[:<filename>]\n");
280 printf(" dump the design when printing the specified log header to a file.\n");
281 printf(" yosys_dump_<header_id>.il is used as filename if none is specified.\n");
282 printf(" Use 'ALL' as <header_id> to dump at every header.\n");
283 printf("\n");
284 printf(" -W regex\n");
285 printf(" print a warning for all log messages matching the regex.\n");
286 printf("\n");
287 printf(" -w regex\n");
288 printf(" if a warning message matches the regex, it is printed as regular\n");
289 printf(" message instead.\n");
290 printf("\n");
291 printf(" -e regex\n");
292 printf(" if a warning message matches the regex, it is printed as error\n");
293 printf(" message instead and the tool terminates with a nonzero return code.\n");
294 printf("\n");
295 printf(" -E <depsfile>\n");
296 printf(" write a Makefile dependencies file with in- and output file names\n");
297 printf("\n");
298 printf(" -x <feature>\n");
299 printf(" do not print warnings for the specified experimental feature\n");
300 printf("\n");
301 printf(" -g\n");
302 printf(" globally enable debug log messages\n");
303 printf("\n");
304 printf(" -V\n");
305 printf(" print version information and exit\n");
306 printf("\n");
307 printf("The option -S is an shortcut for calling the \"synth\" command, a default\n");
308 printf("script for transforming the Verilog input to a gate-level netlist. For example:\n");
309 printf("\n");
310 printf(" yosys -o output.blif -S input.v\n");
311 printf("\n");
312 printf("For more complex synthesis jobs it is recommended to use the read_* and write_*\n");
313 printf("commands in a script file instead of specifying input and output files on the\n");
314 printf("command line.\n");
315 printf("\n");
316 printf("When no commands, script files or input files are specified on the command\n");
317 printf("line, yosys automatically enters the interactive command mode. Use the 'help'\n");
318 printf("command to get information on the individual commands.\n");
319 printf("\n");
320 exit(0);
321 }
322
323 if (argc == 2 && (!strcmp(argv[1], "-V") || !strcmp(argv[1], "-version") || !strcmp(argv[1], "--version")))
324 {
325 printf("%s\n", yosys_version_str);
326 exit(0);
327 }
328
329 int opt;
330 while ((opt = getopt(argc, argv, "MXAQTVSgm:f:Hh:b:o:p:l:L:qv:tds:c:W:w:e:D:P:E:x:")) != -1)
331 {
332 switch (opt)
333 {
334 case 'M':
335 memhasher_on();
336 break;
337 case 'X':
338 yosys_xtrace++;
339 break;
340 case 'A':
341 call_abort = true;
342 break;
343 case 'Q':
344 print_banner = false;
345 break;
346 case 'T':
347 print_stats = false;
348 break;
349 case 'V':
350 printf("%s\n", yosys_version_str);
351 exit(0);
352 case 'S':
353 passes_commands.push_back("synth");
354 break;
355 case 'g':
356 log_force_debug++;
357 break;
358 case 'm':
359 plugin_filenames.push_back(optarg);
360 break;
361 case 'f':
362 frontend_command = optarg;
363 break;
364 case 'H':
365 passes_commands.push_back("help");
366 break;
367 case 'h':
368 passes_commands.push_back(stringf("help %s", optarg));
369 break;
370 case 'b':
371 backend_command = optarg;
372 break;
373 case 'p':
374 passes_commands.push_back(optarg);
375 break;
376 case 'o':
377 output_filename = optarg;
378 got_output_filename = true;
379 break;
380 case 'l':
381 case 'L':
382 log_files.push_back(fopen(optarg, "wt"));
383 if (log_files.back() == NULL) {
384 fprintf(stderr, "Can't open log file `%s' for writing!\n", optarg);
385 exit(1);
386 }
387 if (opt == 'L')
388 setvbuf(log_files.back(), NULL, _IOLBF, 0);
389 break;
390 case 'q':
391 mode_q = true;
392 if (log_errfile == stderr)
393 log_quiet_warnings = true;
394 log_errfile = stderr;
395 break;
396 case 'v':
397 mode_v = true;
398 log_errfile = stderr;
399 log_verbose_level = atoi(optarg);
400 break;
401 case 't':
402 log_time = true;
403 break;
404 case 'd':
405 timing_details = true;
406 break;
407 case 's':
408 scriptfile = optarg;
409 scriptfile_tcl = false;
410 break;
411 case 'c':
412 scriptfile = optarg;
413 scriptfile_tcl = true;
414 break;
415 case 'W':
416 log_warn_regexes.push_back(std::regex(optarg,
417 std::regex_constants::nosubs |
418 std::regex_constants::optimize |
419 std::regex_constants::egrep));
420 break;
421 case 'w':
422 log_nowarn_regexes.push_back(std::regex(optarg,
423 std::regex_constants::nosubs |
424 std::regex_constants::optimize |
425 std::regex_constants::egrep));
426 break;
427 case 'e':
428 log_werror_regexes.push_back(std::regex(optarg,
429 std::regex_constants::nosubs |
430 std::regex_constants::optimize |
431 std::regex_constants::egrep));
432 break;
433 case 'D':
434 vlog_defines.push_back(optarg);
435 break;
436 case 'P':
437 {
438 auto args = split_tokens(optarg, ":");
439 if (!args.empty() && args[0] == "ALL") {
440 if (GetSize(args) != 1) {
441 fprintf(stderr, "Invalid number of tokens in -D ALL.\n");
442 exit(1);
443 }
444 log_hdump_all = true;
445 } else {
446 if (!args.empty() && !args[0].empty() && args[0].back() == '.')
447 args[0].pop_back();
448 if (GetSize(args) == 1)
449 args.push_back("yosys_dump_" + args[0] + ".il");
450 if (GetSize(args) != 2) {
451 fprintf(stderr, "Invalid number of tokens in -D.\n");
452 exit(1);
453 }
454 log_hdump[args[0]].insert(args[1]);
455 }
456 }
457 break;
458 case 'E':
459 depsfile = optarg;
460 break;
461 case 'x':
462 log_experimentals_ignored.insert(optarg);
463 break;
464 default:
465 fprintf(stderr, "Run '%s -h' for help.\n", argv[0]);
466 exit(1);
467 }
468 }
469
470 if (log_errfile == NULL) {
471 log_files.push_back(stdout);
472 log_error_stderr = true;
473 }
474
475 if (print_banner)
476 yosys_banner();
477
478 if (print_stats)
479 log_hasher = new SHA1;
480
481 #if defined(__linux__)
482 // set stack size to >= 128 MB
483 {
484 struct rlimit rl;
485 const rlim_t stack_size = 128L * 1024L * 1024L;
486 if (getrlimit(RLIMIT_STACK, &rl) == 0 && rl.rlim_cur < stack_size) {
487 rl.rlim_cur = stack_size;
488 setrlimit(RLIMIT_STACK, &rl);
489 }
490 }
491 #endif
492
493 yosys_setup();
494 #ifdef WITH_PYTHON
495 PyRun_SimpleString(("sys.path.append(\""+proc_self_dirname()+"\")").c_str());
496 PyRun_SimpleString(("sys.path.append(\""+proc_share_dirname()+"plugins\")").c_str());
497 #endif
498 log_error_atexit = yosys_atexit;
499
500 for (auto &fn : plugin_filenames)
501 load_plugin(fn, {});
502
503 if (optind == argc && passes_commands.size() == 0 && scriptfile.empty()) {
504 if (!got_output_filename)
505 backend_command = "";
506 shell(yosys_design);
507 }
508
509 if (!vlog_defines.empty()) {
510 std::string vdef_cmd = "read -define";
511 for (auto vdef : vlog_defines)
512 vdef_cmd += " " + vdef;
513 run_pass(vdef_cmd);
514 }
515
516 while (optind < argc)
517 run_frontend(argv[optind++], frontend_command, output_filename == "-" ? &backend_command : NULL);
518
519 if (!scriptfile.empty()) {
520 if (scriptfile_tcl) {
521 #ifdef YOSYS_ENABLE_TCL
522 if (Tcl_EvalFile(yosys_get_tcl_interp(), scriptfile.c_str()) != TCL_OK)
523 log_error("TCL interpreter returned an error: %s\n", Tcl_GetStringResult(yosys_get_tcl_interp()));
524 #else
525 log_error("Can't exectue TCL script: this version of yosys is not built with TCL support enabled.\n");
526 #endif
527 } else
528 run_frontend(scriptfile, "script", output_filename == "-" ? &backend_command : NULL);
529 }
530
531 for (auto it = passes_commands.begin(); it != passes_commands.end(); it++)
532 run_pass(*it);
533
534 if (!backend_command.empty())
535 run_backend(output_filename, backend_command);
536
537 yosys_design->check();
538 for (auto it : saved_designs)
539 it.second->check();
540 for (auto it : pushed_designs)
541 it->check();
542
543 if (!depsfile.empty())
544 {
545 FILE *f = fopen(depsfile.c_str(), "wt");
546 if (f == nullptr)
547 log_error("Can't open dependencies file for writing: %s\n", strerror(errno));
548 bool first = true;
549 for (auto fn : yosys_output_files) {
550 fprintf(f, "%s%s", first ? "" : " ", escape_filename_spaces(fn).c_str());
551 first = false;
552 }
553 fprintf(f, ":");
554 for (auto fn : yosys_input_files) {
555 if (yosys_output_files.count(fn) == 0)
556 fprintf(f, " %s", escape_filename_spaces(fn).c_str());
557 }
558 fprintf(f, "\n");
559 }
560
561 if (log_expect_no_warnings && log_warnings_count_noexpect)
562 log_error("Unexpected warnings found: %d unique messages, %d total, %d expected\n", GetSize(log_warnings),
563 log_warnings_count, log_warnings_count - log_warnings_count_noexpect);
564
565 if (print_stats)
566 {
567 std::string hash = log_hasher->final().substr(0, 10);
568 delete log_hasher;
569 log_hasher = nullptr;
570
571 log_time = false;
572 yosys_xtrace = 0;
573 log_spacer();
574
575 if (mode_v && !mode_q)
576 log_files.push_back(stderr);
577
578 if (log_warnings_count)
579 log("Warnings: %d unique messages, %d total\n", GetSize(log_warnings), log_warnings_count);
580
581 if (!log_experimentals.empty())
582 log("Warnings: %d experimental features used (not excluded with -x).\n", GetSize(log_experimentals));
583
584 #ifdef _WIN32
585 log("End of script. Logfile hash: %s\n", hash.c_str());
586 #else
587 std::string meminfo;
588 std::string stats_divider = ", ";
589
590 struct rusage ru_buffer;
591 getrusage(RUSAGE_SELF, &ru_buffer);
592 if (yosys_design->scratchpad_get_bool("print_stats.include_children")) {
593 struct rusage ru_buffer_children;
594 getrusage(RUSAGE_CHILDREN, &ru_buffer_children);
595 ru_buffer.ru_utime.tv_sec += ru_buffer_children.ru_utime.tv_sec;
596 ru_buffer.ru_utime.tv_usec += ru_buffer_children.ru_utime.tv_usec;
597 ru_buffer.ru_stime.tv_sec += ru_buffer_children.ru_stime.tv_sec;
598 ru_buffer.ru_stime.tv_usec += ru_buffer_children.ru_stime.tv_usec;
599 ru_buffer.ru_maxrss = std::max(ru_buffer.ru_maxrss, ru_buffer_children.ru_maxrss);
600 }
601 # if defined(__linux__) || defined(__FreeBSD__)
602 meminfo = stringf(", MEM: %.2f MB peak",
603 ru_buffer.ru_maxrss / 1024.0);
604 #endif
605 log("End of script. Logfile hash: %s%sCPU: user %.2fs system %.2fs%s\n", hash.c_str(),
606 stats_divider.c_str(), ru_buffer.ru_utime.tv_sec + 1e-6 * ru_buffer.ru_utime.tv_usec,
607 ru_buffer.ru_stime.tv_sec + 1e-6 * ru_buffer.ru_stime.tv_usec, meminfo.c_str());
608 #endif
609 log("%s\n", yosys_version_str);
610
611 int64_t total_ns = 0;
612 std::set<tuple<int64_t, int, std::string>> timedat;
613
614 for (auto &it : pass_register)
615 if (it.second->call_counter) {
616 total_ns += it.second->runtime_ns + 1;
617 timedat.insert(make_tuple(it.second->runtime_ns + 1, it.second->call_counter, it.first));
618 }
619
620 if (timing_details)
621 {
622 log("Time spent:\n");
623 for (auto it = timedat.rbegin(); it != timedat.rend(); it++) {
624 log("%5d%% %5d calls %8.3f sec %s\n", int(100*std::get<0>(*it) / total_ns),
625 std::get<1>(*it), std::get<0>(*it) / 1000000000.0, std::get<2>(*it).c_str());
626 }
627 }
628 else
629 {
630 int out_count = 0;
631 log("Time spent:");
632 for (auto it = timedat.rbegin(); it != timedat.rend() && out_count < 4; it++, out_count++) {
633 if (out_count >= 2 && (std::get<0>(*it) < 1000000000 || int(100*std::get<0>(*it) / total_ns) < 20)) {
634 log(", ...");
635 break;
636 }
637 log("%s %d%% %dx %s (%d sec)", out_count ? "," : "", int(100*std::get<0>(*it) / total_ns),
638 std::get<1>(*it), std::get<2>(*it).c_str(), int(std::get<0>(*it) / 1000000000));
639 }
640 log("%s\n", out_count ? "" : " no commands executed");
641 }
642 }
643
644 #if defined(YOSYS_ENABLE_COVER) && (defined(__linux__) || defined(__FreeBSD__))
645 if (getenv("YOSYS_COVER_DIR") || getenv("YOSYS_COVER_FILE"))
646 {
647 string filename;
648 FILE *f;
649
650 if (getenv("YOSYS_COVER_DIR")) {
651 filename = stringf("%s/yosys_cover_%d_XXXXXX.txt", getenv("YOSYS_COVER_DIR"), getpid());
652 filename = make_temp_file(filename);
653 } else {
654 filename = getenv("YOSYS_COVER_FILE");
655 }
656
657 f = fopen(filename.c_str(), "a+");
658
659 if (f == NULL)
660 log_error("Can't create coverage file `%s'.\n", filename.c_str());
661
662 log("<writing coverage file \"%s\">\n", filename.c_str());
663
664 for (auto &it : get_coverage_data())
665 fprintf(f, "%-60s %10d %s\n", it.second.first.c_str(), it.second.second, it.first.c_str());
666
667 fclose(f);
668 }
669 #endif
670
671 log_check_expected();
672
673 yosys_atexit();
674
675 memhasher_off();
676 if (call_abort)
677 abort();
678
679 log_flush();
680 #if defined(_MSC_VER)
681 _exit(0);
682 #elif defined(_WIN32)
683 _Exit(0);
684 #endif
685
686 yosys_shutdown();
687
688 return 0;
689 }
690
691 #endif /* EMSCRIPTEN */
692