verilog: fix $specify3 check
[yosys.git] / kernel / register.cc
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 */
19
20 #include "kernel/yosys.h"
21 #include "kernel/satgen.h"
22
23 #include <string.h>
24 #include <stdlib.h>
25 #include <stdio.h>
26 #include <errno.h>
27
28 #ifdef YOSYS_ENABLE_ZLIB
29 #include <zlib.h>
30
31 PRIVATE_NAMESPACE_BEGIN
32 #define GZ_BUFFER_SIZE 8192
33 void decompress_gzip(const std::string &filename, std::stringstream &out)
34 {
35 char buffer[GZ_BUFFER_SIZE];
36 int bytes_read;
37 gzFile gzf = gzopen(filename.c_str(), "rb");
38 while(!gzeof(gzf)) {
39 bytes_read = gzread(gzf, reinterpret_cast<void *>(buffer), GZ_BUFFER_SIZE);
40 out.write(buffer, bytes_read);
41 }
42 gzclose(gzf);
43 }
44
45 /*
46 An output stream that uses a stringbuf to buffer data internally,
47 using zlib to write gzip-compressed data every time the stream is flushed.
48 */
49 class gzip_ostream : public std::ostream {
50 public:
51 gzip_ostream() : std::ostream(nullptr)
52 {
53 rdbuf(&outbuf);
54 }
55 bool open(const std::string &filename)
56 {
57 return outbuf.open(filename);
58 }
59 private:
60 class gzip_streambuf : public std::stringbuf {
61 public:
62 gzip_streambuf() { };
63 bool open(const std::string &filename)
64 {
65 gzf = gzopen(filename.c_str(), "wb");
66 return gzf != nullptr;
67 }
68 virtual int sync() override
69 {
70 gzwrite(gzf, reinterpret_cast<const void *>(str().c_str()), unsigned(str().size()));
71 str("");
72 return 0;
73 }
74 virtual ~gzip_streambuf()
75 {
76 sync();
77 gzclose(gzf);
78 }
79 private:
80 gzFile gzf = nullptr;
81 } outbuf;
82 };
83 PRIVATE_NAMESPACE_END
84
85 #endif
86
87 YOSYS_NAMESPACE_BEGIN
88
89 #define MAX_REG_COUNT 1000
90
91 bool echo_mode = false;
92 Pass *first_queued_pass;
93 Pass *current_pass;
94
95 std::map<std::string, Frontend*> frontend_register;
96 std::map<std::string, Pass*> pass_register;
97 std::map<std::string, Backend*> backend_register;
98
99 std::vector<std::string> Frontend::next_args;
100
101 Pass::Pass(std::string name, std::string short_help) : pass_name(name), short_help(short_help)
102 {
103 next_queued_pass = first_queued_pass;
104 first_queued_pass = this;
105 call_counter = 0;
106 runtime_ns = 0;
107 }
108
109 void Pass::run_register()
110 {
111 log_assert(pass_register.count(pass_name) == 0);
112 pass_register[pass_name] = this;
113 }
114
115 void Pass::init_register()
116 {
117 vector<Pass*> added_passes;
118 while (first_queued_pass) {
119 added_passes.push_back(first_queued_pass);
120 first_queued_pass->run_register();
121 first_queued_pass = first_queued_pass->next_queued_pass;
122 }
123 for (auto added_pass : added_passes)
124 added_pass->on_register();
125 }
126
127 void Pass::done_register()
128 {
129 for (auto &it : pass_register)
130 it.second->on_shutdown();
131
132 frontend_register.clear();
133 pass_register.clear();
134 backend_register.clear();
135 log_assert(first_queued_pass == NULL);
136 }
137
138 void Pass::on_register()
139 {
140 }
141
142 void Pass::on_shutdown()
143 {
144 }
145
146 Pass::~Pass()
147 {
148 }
149
150 Pass::pre_post_exec_state_t Pass::pre_execute()
151 {
152 pre_post_exec_state_t state;
153 call_counter++;
154 state.begin_ns = PerformanceTimer::query();
155 state.parent_pass = current_pass;
156 current_pass = this;
157 clear_flags();
158 return state;
159 }
160
161 void Pass::post_execute(Pass::pre_post_exec_state_t state)
162 {
163 IdString::checkpoint();
164 log_suppressed();
165
166 int64_t time_ns = PerformanceTimer::query() - state.begin_ns;
167 runtime_ns += time_ns;
168 current_pass = state.parent_pass;
169 if (current_pass)
170 current_pass->runtime_ns -= time_ns;
171 }
172
173 void Pass::help()
174 {
175 log("\n");
176 log("No help message for command `%s'.\n", pass_name.c_str());
177 log("\n");
178 }
179
180 void Pass::clear_flags()
181 {
182 }
183
184 void Pass::cmd_log_args(const std::vector<std::string> &args)
185 {
186 if (args.size() <= 1)
187 return;
188 log("Full command line:");
189 for (size_t i = 0; i < args.size(); i++)
190 log(" %s", args[i].c_str());
191 log("\n");
192 }
193
194 void Pass::cmd_error(const std::vector<std::string> &args, size_t argidx, std::string msg)
195 {
196 std::string command_text;
197 int error_pos = 0;
198
199 for (size_t i = 0; i < args.size(); i++) {
200 if (i < argidx)
201 error_pos += args[i].size() + 1;
202 command_text = command_text + (command_text.empty() ? "" : " ") + args[i];
203 }
204
205 log("\nSyntax error in command `%s':\n", command_text.c_str());
206 help();
207
208 log_cmd_error("Command syntax error: %s\n> %s\n> %*s^\n",
209 msg.c_str(), command_text.c_str(), error_pos, "");
210 }
211
212 void Pass::extra_args(std::vector<std::string> args, size_t argidx, RTLIL::Design *design, bool select)
213 {
214 for (; argidx < args.size(); argidx++)
215 {
216 std::string arg = args[argidx];
217
218 if (arg.compare(0, 1, "-") == 0)
219 cmd_error(args, argidx, "Unknown option or option in arguments.");
220
221 if (!select)
222 cmd_error(args, argidx, "Extra argument.");
223
224 handle_extra_select_args(this, args, argidx, args.size(), design);
225 break;
226 }
227 // cmd_log_args(args);
228 }
229
230 void Pass::call(RTLIL::Design *design, std::string command)
231 {
232 std::vector<std::string> args;
233
234 std::string cmd_buf = command;
235 std::string tok = next_token(cmd_buf, " \t\r\n", true);
236
237 if (tok.empty())
238 return;
239
240 if (tok[0] == '!') {
241 cmd_buf = command.substr(command.find('!') + 1);
242 while (!cmd_buf.empty() && (cmd_buf.back() == ' ' || cmd_buf.back() == '\t' ||
243 cmd_buf.back() == '\r' || cmd_buf.back() == '\n'))
244 cmd_buf.resize(cmd_buf.size()-1);
245 log_header(design, "Shell command: %s\n", cmd_buf.c_str());
246 int retCode = run_command(cmd_buf);
247 if (retCode != 0)
248 log_cmd_error("Shell command returned error code %d.\n", retCode);
249 return;
250 }
251
252 while (!tok.empty()) {
253 if (tok[0] == '#') {
254 int stop;
255 for (stop = 0; stop < GetSize(cmd_buf); stop++)
256 if (cmd_buf[stop] == '\r' || cmd_buf[stop] == '\n')
257 break;
258 cmd_buf = cmd_buf.substr(stop);
259 } else
260 if (tok.back() == ';') {
261 int num_semikolon = 0;
262 while (!tok.empty() && tok.back() == ';')
263 tok.resize(tok.size()-1), num_semikolon++;
264 if (!tok.empty())
265 args.push_back(tok);
266 call(design, args);
267 args.clear();
268 if (num_semikolon == 2)
269 call(design, "clean");
270 if (num_semikolon == 3)
271 call(design, "clean -purge");
272 } else
273 args.push_back(tok);
274 bool found_nl = false;
275 for (auto c : cmd_buf) {
276 if (c == ' ' || c == '\t')
277 continue;
278 if (c == '\r' || c == '\n')
279 found_nl = true;
280 break;
281 }
282 if (found_nl) {
283 call(design, args);
284 args.clear();
285 }
286 tok = next_token(cmd_buf, " \t\r\n", true);
287 }
288
289 call(design, args);
290 }
291
292 void Pass::call(RTLIL::Design *design, std::vector<std::string> args)
293 {
294 if (args.size() == 0 || args[0][0] == '#' || args[0][0] == ':')
295 return;
296
297 if (echo_mode) {
298 log("%s", create_prompt(design, 0));
299 for (size_t i = 0; i < args.size(); i++)
300 log("%s%s", i ? " " : "", args[i].c_str());
301 log("\n");
302 }
303
304 if (pass_register.count(args[0]) == 0)
305 log_cmd_error("No such command: %s (type 'help' for a command overview)\n", args[0].c_str());
306
307 if (pass_register[args[0]]->experimental_flag)
308 log_experimental("%s", args[0].c_str());
309
310 size_t orig_sel_stack_pos = design->selection_stack.size();
311 auto state = pass_register[args[0]]->pre_execute();
312 pass_register[args[0]]->execute(args, design);
313 pass_register[args[0]]->post_execute(state);
314 while (design->selection_stack.size() > orig_sel_stack_pos)
315 design->selection_stack.pop_back();
316 }
317
318 void Pass::call_on_selection(RTLIL::Design *design, const RTLIL::Selection &selection, std::string command)
319 {
320 std::string backup_selected_active_module = design->selected_active_module;
321 design->selected_active_module.clear();
322 design->selection_stack.push_back(selection);
323
324 Pass::call(design, command);
325
326 design->selection_stack.pop_back();
327 design->selected_active_module = backup_selected_active_module;
328 }
329
330 void Pass::call_on_selection(RTLIL::Design *design, const RTLIL::Selection &selection, std::vector<std::string> args)
331 {
332 std::string backup_selected_active_module = design->selected_active_module;
333 design->selected_active_module.clear();
334 design->selection_stack.push_back(selection);
335
336 Pass::call(design, args);
337
338 design->selection_stack.pop_back();
339 design->selected_active_module = backup_selected_active_module;
340 }
341
342 void Pass::call_on_module(RTLIL::Design *design, RTLIL::Module *module, std::string command)
343 {
344 std::string backup_selected_active_module = design->selected_active_module;
345 design->selected_active_module = module->name.str();
346 design->selection_stack.push_back(RTLIL::Selection(false));
347 design->selection_stack.back().select(module);
348
349 Pass::call(design, command);
350
351 design->selection_stack.pop_back();
352 design->selected_active_module = backup_selected_active_module;
353 }
354
355 void Pass::call_on_module(RTLIL::Design *design, RTLIL::Module *module, std::vector<std::string> args)
356 {
357 std::string backup_selected_active_module = design->selected_active_module;
358 design->selected_active_module = module->name.str();
359 design->selection_stack.push_back(RTLIL::Selection(false));
360 design->selection_stack.back().select(module);
361
362 Pass::call(design, args);
363
364 design->selection_stack.pop_back();
365 design->selected_active_module = backup_selected_active_module;
366 }
367
368 bool ScriptPass::check_label(std::string label, std::string info)
369 {
370 if (active_design == nullptr) {
371 log("\n");
372 if (info.empty())
373 log(" %s:\n", label.c_str());
374 else
375 log(" %s: %s\n", label.c_str(), info.c_str());
376 return true;
377 } else {
378 if (!active_run_from.empty() && active_run_from == active_run_to) {
379 block_active = (label == active_run_from);
380 } else {
381 if (label == active_run_from)
382 block_active = true;
383 if (label == active_run_to)
384 block_active = false;
385 }
386 return block_active;
387 }
388 }
389
390 void ScriptPass::run(std::string command, std::string info)
391 {
392 if (active_design == nullptr) {
393 if (info.empty())
394 log(" %s\n", command.c_str());
395 else
396 log(" %s %s\n", command.c_str(), info.c_str());
397 } else {
398 Pass::call(active_design, command);
399 active_design->check();
400 }
401 }
402
403 void ScriptPass::run_script(RTLIL::Design *design, std::string run_from, std::string run_to)
404 {
405 help_mode = false;
406 active_design = design;
407 block_active = run_from.empty();
408 active_run_from = run_from;
409 active_run_to = run_to;
410 script();
411 }
412
413 void ScriptPass::help_script()
414 {
415 clear_flags();
416 help_mode = true;
417 active_design = nullptr;
418 block_active = true;
419 active_run_from.clear();
420 active_run_to.clear();
421 script();
422 }
423
424 Frontend::Frontend(std::string name, std::string short_help) :
425 Pass(name.rfind("=", 0) == 0 ? name.substr(1) : "read_" + name, short_help),
426 frontend_name(name.rfind("=", 0) == 0 ? name.substr(1) : name)
427 {
428 }
429
430 void Frontend::run_register()
431 {
432 log_assert(pass_register.count(pass_name) == 0);
433 pass_register[pass_name] = this;
434
435 log_assert(frontend_register.count(frontend_name) == 0);
436 frontend_register[frontend_name] = this;
437 }
438
439 Frontend::~Frontend()
440 {
441 }
442
443 void Frontend::execute(std::vector<std::string> args, RTLIL::Design *design)
444 {
445 log_assert(next_args.empty());
446 do {
447 std::istream *f = NULL;
448 next_args.clear();
449 auto state = pre_execute();
450 execute(f, std::string(), args, design);
451 post_execute(state);
452 args = next_args;
453 delete f;
454 } while (!args.empty());
455 }
456
457 FILE *Frontend::current_script_file = NULL;
458 std::string Frontend::last_here_document;
459
460 void Frontend::extra_args(std::istream *&f, std::string &filename, std::vector<std::string> args, size_t argidx, bool bin_input)
461 {
462 bool called_with_fp = f != NULL;
463
464 next_args.clear();
465
466 if (argidx < args.size())
467 {
468 std::string arg = args[argidx];
469
470 if (arg.compare(0, 1, "-") == 0)
471 cmd_error(args, argidx, "Unknown option or option in arguments.");
472 if (f != NULL)
473 cmd_error(args, argidx, "Extra filename argument in direct file mode.");
474
475 filename = arg;
476 if (filename == "<<" && argidx+1 < args.size())
477 filename += args[++argidx];
478 if (filename.compare(0, 2, "<<") == 0) {
479 if (Frontend::current_script_file == NULL)
480 log_error("Unexpected here document '%s' outside of script!\n", filename.c_str());
481 if (filename.size() <= 2)
482 log_error("Missing EOT marker in here document!\n");
483 std::string eot_marker = filename.substr(2);
484 last_here_document.clear();
485 while (1) {
486 std::string buffer;
487 char block[4096];
488 while (1) {
489 if (fgets(block, 4096, Frontend::current_script_file) == NULL)
490 log_error("Unexpected end of file in here document '%s'!\n", filename.c_str());
491 buffer += block;
492 if (buffer.size() > 0 && (buffer[buffer.size() - 1] == '\n' || buffer[buffer.size() - 1] == '\r'))
493 break;
494 }
495 size_t indent = buffer.find_first_not_of(" \t\r\n");
496 if (indent != std::string::npos && buffer.compare(indent, eot_marker.size(), eot_marker) == 0)
497 break;
498 last_here_document += buffer;
499 }
500 f = new std::istringstream(last_here_document);
501 } else {
502 rewrite_filename(filename);
503 vector<string> filenames = glob_filename(filename);
504 filename = filenames.front();
505 if (GetSize(filenames) > 1) {
506 next_args.insert(next_args.end(), args.begin(), args.begin()+argidx);
507 next_args.insert(next_args.end(), filenames.begin()+1, filenames.end());
508 }
509 std::ifstream *ff = new std::ifstream;
510 ff->open(filename.c_str(), bin_input ? std::ifstream::binary : std::ifstream::in);
511 yosys_input_files.insert(filename);
512 if (ff->fail())
513 delete ff;
514 else
515 f = ff;
516 if (f != NULL) {
517 // Check for gzip magic
518 unsigned char magic[3];
519 int n = 0;
520 while (n < 3)
521 {
522 int c = ff->get();
523 if (c != EOF) {
524 magic[n] = (unsigned char) c;
525 }
526 n++;
527 }
528 if (n == 3 && magic[0] == 0x1f && magic[1] == 0x8b) {
529 #ifdef YOSYS_ENABLE_ZLIB
530 log("Found gzip magic in file `%s', decompressing using zlib.\n", filename.c_str());
531 if (magic[2] != 8)
532 log_cmd_error("gzip file `%s' uses unsupported compression type %02x\n",
533 filename.c_str(), unsigned(magic[2]));
534 delete ff;
535 std::stringstream *df = new std::stringstream();
536 decompress_gzip(filename, *df);
537 f = df;
538 #else
539 log_cmd_error("File `%s' is a gzip file, but Yosys is compiled without zlib.\n", filename.c_str());
540 #endif
541 } else {
542 ff->clear();
543 ff->seekg(0, std::ios::beg);
544 }
545 }
546 }
547 if (f == NULL)
548 log_cmd_error("Can't open input file `%s' for reading: %s\n", filename.c_str(), strerror(errno));
549
550 for (size_t i = argidx+1; i < args.size(); i++)
551 if (args[i].compare(0, 1, "-") == 0)
552 cmd_error(args, i, "Found option, expected arguments.");
553
554 if (argidx+1 < args.size()) {
555 if (next_args.empty())
556 next_args.insert(next_args.end(), args.begin(), args.begin()+argidx);
557 next_args.insert(next_args.end(), args.begin()+argidx+1, args.end());
558 args.erase(args.begin()+argidx+1, args.end());
559 }
560 }
561
562 if (f == NULL)
563 cmd_error(args, argidx, "No filename given.");
564
565 if (called_with_fp)
566 args.push_back(filename);
567 args[0] = pass_name;
568 // cmd_log_args(args);
569 }
570
571 void Frontend::frontend_call(RTLIL::Design *design, std::istream *f, std::string filename, std::string command)
572 {
573 std::vector<std::string> args;
574 char *s = strdup(command.c_str());
575 for (char *p = strtok(s, " \t\r\n"); p; p = strtok(NULL, " \t\r\n"))
576 args.push_back(p);
577 free(s);
578 frontend_call(design, f, filename, args);
579 }
580
581 void Frontend::frontend_call(RTLIL::Design *design, std::istream *f, std::string filename, std::vector<std::string> args)
582 {
583 if (args.size() == 0)
584 return;
585 if (frontend_register.count(args[0]) == 0)
586 log_cmd_error("No such frontend: %s\n", args[0].c_str());
587
588 if (f != NULL) {
589 auto state = frontend_register[args[0]]->pre_execute();
590 frontend_register[args[0]]->execute(f, filename, args, design);
591 frontend_register[args[0]]->post_execute(state);
592 } else if (filename == "-") {
593 std::istream *f_cin = &std::cin;
594 auto state = frontend_register[args[0]]->pre_execute();
595 frontend_register[args[0]]->execute(f_cin, "<stdin>", args, design);
596 frontend_register[args[0]]->post_execute(state);
597 } else {
598 if (!filename.empty())
599 args.push_back(filename);
600 frontend_register[args[0]]->execute(args, design);
601 }
602 }
603
604 Backend::Backend(std::string name, std::string short_help) :
605 Pass(name.rfind("=", 0) == 0 ? name.substr(1) : "write_" + name, short_help),
606 backend_name(name.rfind("=", 0) == 0 ? name.substr(1) : name)
607 {
608 }
609
610 void Backend::run_register()
611 {
612 log_assert(pass_register.count(pass_name) == 0);
613 pass_register[pass_name] = this;
614
615 log_assert(backend_register.count(backend_name) == 0);
616 backend_register[backend_name] = this;
617 }
618
619 Backend::~Backend()
620 {
621 }
622
623 void Backend::execute(std::vector<std::string> args, RTLIL::Design *design)
624 {
625 std::ostream *f = NULL;
626 auto state = pre_execute();
627 execute(f, std::string(), args, design);
628 post_execute(state);
629 if (f != &std::cout)
630 delete f;
631 }
632
633 void Backend::extra_args(std::ostream *&f, std::string &filename, std::vector<std::string> args, size_t argidx, bool bin_output)
634 {
635 bool called_with_fp = f != NULL;
636
637 for (; argidx < args.size(); argidx++)
638 {
639 std::string arg = args[argidx];
640
641 if (arg.compare(0, 1, "-") == 0 && arg != "-")
642 cmd_error(args, argidx, "Unknown option or option in arguments.");
643 if (f != NULL)
644 cmd_error(args, argidx, "Extra filename argument in direct file mode.");
645
646 if (arg == "-") {
647 filename = "<stdout>";
648 f = &std::cout;
649 continue;
650 }
651
652 filename = arg;
653 rewrite_filename(filename);
654 if (filename.size() > 3 && filename.compare(filename.size()-3, std::string::npos, ".gz") == 0) {
655 #ifdef YOSYS_ENABLE_ZLIB
656 gzip_ostream *gf = new gzip_ostream;
657 if (!gf->open(filename)) {
658 delete gf;
659 log_cmd_error("Can't open output file `%s' for writing: %s\n", filename.c_str(), strerror(errno));
660 }
661 yosys_output_files.insert(filename);
662 f = gf;
663 #else
664 log_cmd_error("Yosys is compiled without zlib support, unable to write gzip output.\n");
665 #endif
666 } else {
667 std::ofstream *ff = new std::ofstream;
668 ff->open(filename.c_str(), bin_output ? (std::ofstream::trunc | std::ofstream::binary) : std::ofstream::trunc);
669 yosys_output_files.insert(filename);
670 if (ff->fail()) {
671 delete ff;
672 log_cmd_error("Can't open output file `%s' for writing: %s\n", filename.c_str(), strerror(errno));
673 }
674 f = ff;
675 }
676 }
677
678 if (called_with_fp)
679 args.push_back(filename);
680 args[0] = pass_name;
681 // cmd_log_args(args);
682
683 if (f == NULL) {
684 filename = "<stdout>";
685 f = &std::cout;
686 }
687 }
688
689 void Backend::backend_call(RTLIL::Design *design, std::ostream *f, std::string filename, std::string command)
690 {
691 std::vector<std::string> args;
692 char *s = strdup(command.c_str());
693 for (char *p = strtok(s, " \t\r\n"); p; p = strtok(NULL, " \t\r\n"))
694 args.push_back(p);
695 free(s);
696 backend_call(design, f, filename, args);
697 }
698
699 void Backend::backend_call(RTLIL::Design *design, std::ostream *f, std::string filename, std::vector<std::string> args)
700 {
701 if (args.size() == 0)
702 return;
703 if (backend_register.count(args[0]) == 0)
704 log_cmd_error("No such backend: %s\n", args[0].c_str());
705
706 size_t orig_sel_stack_pos = design->selection_stack.size();
707
708 if (f != NULL) {
709 auto state = backend_register[args[0]]->pre_execute();
710 backend_register[args[0]]->execute(f, filename, args, design);
711 backend_register[args[0]]->post_execute(state);
712 } else if (filename == "-") {
713 std::ostream *f_cout = &std::cout;
714 auto state = backend_register[args[0]]->pre_execute();
715 backend_register[args[0]]->execute(f_cout, "<stdout>", args, design);
716 backend_register[args[0]]->post_execute(state);
717 } else {
718 if (!filename.empty())
719 args.push_back(filename);
720 backend_register[args[0]]->execute(args, design);
721 }
722
723 while (design->selection_stack.size() > orig_sel_stack_pos)
724 design->selection_stack.pop_back();
725 }
726
727 static struct CellHelpMessages {
728 dict<string, string> cell_help, cell_code;
729 CellHelpMessages() {
730 #include "techlibs/common/simlib_help.inc"
731 #include "techlibs/common/simcells_help.inc"
732 cell_help.sort();
733 cell_code.sort();
734 }
735 } cell_help_messages;
736
737 struct HelpPass : public Pass {
738 HelpPass() : Pass("help", "display help messages") { }
739 void help() YS_OVERRIDE
740 {
741 log("\n");
742 log(" help ................ list all commands\n");
743 log(" help <command> ...... print help message for given command\n");
744 log(" help -all ........... print complete command reference\n");
745 log("\n");
746 log(" help -cells .......... list all cell types\n");
747 log(" help <celltype> ..... print help message for given cell type\n");
748 log(" help <celltype>+ .... print verilog code for given cell type\n");
749 log("\n");
750 }
751 void escape_tex(std::string &tex)
752 {
753 for (size_t pos = 0; (pos = tex.find('_', pos)) != std::string::npos; pos += 2)
754 tex.replace(pos, 1, "\\_");
755 for (size_t pos = 0; (pos = tex.find('$', pos)) != std::string::npos; pos += 2)
756 tex.replace(pos, 1, "\\$");
757 }
758 void write_tex(FILE *f, std::string cmd, std::string title, std::string text)
759 {
760 size_t begin = text.find_first_not_of("\n"), end = text.find_last_not_of("\n");
761 if (begin != std::string::npos && end != std::string::npos && begin < end)
762 text = text.substr(begin, end-begin+1);
763 std::string cmd_unescaped = cmd;
764 escape_tex(cmd);
765 escape_tex(title);
766 fprintf(f, "\\section{%s -- %s}\n", cmd.c_str(), title.c_str());
767 fprintf(f, "\\label{cmd:%s}\n", cmd_unescaped.c_str());
768 fprintf(f, "\\begin{lstlisting}[numbers=left,frame=single]\n");
769 fprintf(f, "%s\n\\end{lstlisting}\n\n", text.c_str());
770 }
771 void escape_html(std::string &html)
772 {
773 size_t pos = 0;
774 while ((pos = html.find_first_of("<>&", pos)) != std::string::npos)
775 switch (html[pos]) {
776 case '<':
777 html.replace(pos, 1, "&lt;");
778 pos += 4;
779 break;
780 case '>':
781 html.replace(pos, 1, "&gt;");
782 pos += 4;
783 break;
784 case '&':
785 html.replace(pos, 1, "&amp;");
786 pos += 5;
787 break;
788 }
789 }
790 void write_html(FILE *idxf, std::string cmd, std::string title, std::string text)
791 {
792 FILE *f = fopen(stringf("cmd_%s.in", cmd.c_str()).c_str(), "wt");
793 fprintf(idxf, "<li><a href=\"cmd_%s.html\"> ", cmd.c_str());
794
795 escape_html(cmd);
796 escape_html(title);
797 escape_html(text);
798
799 fprintf(idxf, "%s</a> <span>%s</span></a>\n", cmd.c_str(), title.c_str());
800
801 fprintf(f, "@cmd_header %s@\n", cmd.c_str());
802 fprintf(f, "<h1>%s - %s</h1>\n", cmd.c_str(), title.c_str());
803 fprintf(f, "<pre>%s</pre>\n", text.c_str());
804 fprintf(f, "@footer@\n");
805
806 fclose(f);
807 }
808 void execute(std::vector<std::string> args, RTLIL::Design*) YS_OVERRIDE
809 {
810 if (args.size() == 1) {
811 log("\n");
812 for (auto &it : pass_register)
813 log(" %-20s %s\n", it.first.c_str(), it.second->short_help.c_str());
814 log("\n");
815 log("Type 'help <command>' for more information on a command.\n");
816 log("Type 'help -cells' for a list of all cell types.\n");
817 log("\n");
818 return;
819 }
820
821 if (args.size() == 2) {
822 if (args[1] == "-all") {
823 for (auto &it : pass_register) {
824 log("\n\n");
825 log("%s -- %s\n", it.first.c_str(), it.second->short_help.c_str());
826 for (size_t i = 0; i < it.first.size() + it.second->short_help.size() + 6; i++)
827 log("=");
828 log("\n");
829 it.second->help();
830 if (it.second->experimental_flag) {
831 log("\n");
832 log("WARNING: THE '%s' COMMAND IS EXPERIMENTAL.\n", it.first.c_str());
833 log("\n");
834 }
835 }
836 }
837 else if (args[1] == "-cells") {
838 log("\n");
839 for (auto &it : cell_help_messages.cell_help) {
840 string line = split_tokens(it.second, "\n").at(0);
841 string cell_name = next_token(line);
842 log(" %-15s %s\n", cell_name.c_str(), line.c_str());
843 }
844 log("\n");
845 log("Type 'help <cell_type>' for more information on a cell type.\n");
846 log("\n");
847 return;
848 }
849 // this option is undocumented as it is for internal use only
850 else if (args[1] == "-write-tex-command-reference-manual") {
851 FILE *f = fopen("command-reference-manual.tex", "wt");
852 fprintf(f, "%% Generated using the yosys 'help -write-tex-command-reference-manual' command.\n\n");
853 for (auto &it : pass_register) {
854 std::ostringstream buf;
855 log_streams.push_back(&buf);
856 it.second->help();
857 if (it.second->experimental_flag) {
858 log("\n");
859 log("WARNING: THE '%s' COMMAND IS EXPERIMENTAL.\n", it.first.c_str());
860 log("\n");
861 }
862 log_streams.pop_back();
863 write_tex(f, it.first, it.second->short_help, buf.str());
864 }
865 fclose(f);
866 }
867 // this option is undocumented as it is for internal use only
868 else if (args[1] == "-write-web-command-reference-manual") {
869 FILE *f = fopen("templates/cmd_index.in", "wt");
870 for (auto &it : pass_register) {
871 std::ostringstream buf;
872 log_streams.push_back(&buf);
873 it.second->help();
874 if (it.second->experimental_flag) {
875 log("\n");
876 log("WARNING: THE '%s' COMMAND IS EXPERIMENTAL.\n", it.first.c_str());
877 log("\n");
878 }
879 log_streams.pop_back();
880 write_html(f, it.first, it.second->short_help, buf.str());
881 }
882 fclose(f);
883 }
884 else if (pass_register.count(args[1])) {
885 pass_register.at(args[1])->help();
886 if (pass_register.at(args[1])->experimental_flag) {
887 log("\n");
888 log("WARNING: THE '%s' COMMAND IS EXPERIMENTAL.\n", args[1].c_str());
889 log("\n");
890 }
891 }
892 else if (cell_help_messages.cell_help.count(args[1])) {
893 log("%s", cell_help_messages.cell_help.at(args[1]).c_str());
894 log("Run 'help %s+' to display the Verilog model for this cell type.\n", args[1].c_str());
895 log("\n");
896 }
897 else if (cell_help_messages.cell_code.count(args[1])) {
898 log("\n");
899 log("%s", cell_help_messages.cell_code.at(args[1]).c_str());
900 }
901 else
902 log("No such command or cell type: %s\n", args[1].c_str());
903 return;
904 }
905
906 help();
907 }
908 } HelpPass;
909
910 struct EchoPass : public Pass {
911 EchoPass() : Pass("echo", "turning echoing back of commands on and off") { }
912 void help() YS_OVERRIDE
913 {
914 log("\n");
915 log(" echo on\n");
916 log("\n");
917 log("Print all commands to log before executing them.\n");
918 log("\n");
919 log("\n");
920 log(" echo off\n");
921 log("\n");
922 log("Do not print all commands to log before executing them. (default)\n");
923 log("\n");
924 }
925 void execute(std::vector<std::string> args, RTLIL::Design*) YS_OVERRIDE
926 {
927 if (args.size() > 2)
928 cmd_error(args, 2, "Unexpected argument.");
929
930 if (args.size() == 2) {
931 if (args[1] == "on")
932 echo_mode = true;
933 else if (args[1] == "off")
934 echo_mode = false;
935 else
936 cmd_error(args, 1, "Unexpected argument.");
937 }
938
939 log("echo %s\n", echo_mode ? "on" : "off");
940 }
941 } EchoPass;
942
943 SatSolver *yosys_satsolver_list;
944 SatSolver *yosys_satsolver;
945
946 struct MinisatSatSolver : public SatSolver {
947 MinisatSatSolver() : SatSolver("minisat") {
948 yosys_satsolver = this;
949 }
950 ezSAT *create() YS_OVERRIDE {
951 return new ezMiniSAT();
952 }
953 } MinisatSatSolver;
954
955 YOSYS_NAMESPACE_END