5157a8f113c485c11413ae0e3452525045cc47b9
[microwatt.git] / liteeth / generated / arty / liteeth_core.v
1 //--------------------------------------------------------------------------------
2 // Auto-generated by Migen (b1b2b29) & LiteX (20ff2462) on 2020-06-15 17:21:34
3 //--------------------------------------------------------------------------------
4 module liteeth_core(
5 input wire sys_clock,
6 input wire sys_reset,
7 input wire mii_eth_clocks_tx,
8 input wire mii_eth_clocks_rx,
9 output wire mii_eth_rst_n,
10 inout wire mii_eth_mdio,
11 output wire mii_eth_mdc,
12 input wire mii_eth_rx_dv,
13 input wire mii_eth_rx_er,
14 input wire [3:0] mii_eth_rx_data,
15 output reg mii_eth_tx_en,
16 output reg [3:0] mii_eth_tx_data,
17 input wire mii_eth_col,
18 input wire mii_eth_crs,
19 input wire [29:0] wishbone_adr,
20 output wire [31:0] wishbone_dat_r,
21 input wire [31:0] wishbone_dat_w,
22 input wire [3:0] wishbone_sel,
23 input wire wishbone_cyc,
24 input wire wishbone_stb,
25 output wire wishbone_ack,
26 input wire wishbone_we,
27 input wire [2:0] wishbone_cti,
28 input wire [1:0] wishbone_bte,
29 output wire wishbone_err,
30 output wire interrupt
31 );
32
33 reg main_maccore_maccore_reset_storage = 1'd0;
34 reg main_maccore_maccore_reset_re = 1'd0;
35 reg [31:0] main_maccore_maccore_scratch_storage = 32'd305419896;
36 reg main_maccore_maccore_scratch_re = 1'd0;
37 wire [31:0] main_maccore_maccore_bus_errors_status;
38 wire main_maccore_maccore_bus_errors_we;
39 wire main_maccore_maccore_reset;
40 wire main_maccore_maccore_bus_error;
41 reg [31:0] main_maccore_maccore_bus_errors = 32'd0;
42 reg [13:0] main_maccore_maccore_adr = 14'd0;
43 reg main_maccore_maccore_we = 1'd0;
44 wire [31:0] main_maccore_maccore_dat_w;
45 wire [31:0] main_maccore_maccore_dat_r;
46 wire [29:0] main_maccore_maccore_wishbone_adr;
47 wire [31:0] main_maccore_maccore_wishbone_dat_w;
48 wire [31:0] main_maccore_maccore_wishbone_dat_r;
49 wire [3:0] main_maccore_maccore_wishbone_sel;
50 wire main_maccore_maccore_wishbone_cyc;
51 wire main_maccore_maccore_wishbone_stb;
52 reg main_maccore_maccore_wishbone_ack = 1'd0;
53 wire main_maccore_maccore_wishbone_we;
54 wire [2:0] main_maccore_maccore_wishbone_cti;
55 wire [1:0] main_maccore_maccore_wishbone_bte;
56 reg main_maccore_maccore_wishbone_err = 1'd0;
57 wire sys_clk;
58 wire sys_rst;
59 wire por_clk;
60 reg main_maccore_int_rst = 1'd1;
61 reg main_maccore_ethphy_reset_storage = 1'd0;
62 reg main_maccore_ethphy_reset_re = 1'd0;
63 wire eth_rx_clk;
64 wire eth_rx_rst;
65 wire eth_tx_clk;
66 wire eth_tx_rst;
67 wire main_maccore_ethphy_reset0;
68 wire main_maccore_ethphy_reset1;
69 reg [8:0] main_maccore_ethphy_counter = 9'd0;
70 wire main_maccore_ethphy_counter_done;
71 wire main_maccore_ethphy_counter_ce;
72 wire main_maccore_ethphy_liteethphymiitx_sink_sink_valid;
73 wire main_maccore_ethphy_liteethphymiitx_sink_sink_ready;
74 wire main_maccore_ethphy_liteethphymiitx_sink_sink_first;
75 wire main_maccore_ethphy_liteethphymiitx_sink_sink_last;
76 wire [7:0] main_maccore_ethphy_liteethphymiitx_sink_sink_payload_data;
77 wire main_maccore_ethphy_liteethphymiitx_sink_sink_payload_last_be;
78 wire main_maccore_ethphy_liteethphymiitx_sink_sink_payload_error;
79 wire main_maccore_ethphy_liteethphymiitx_converter_sink_valid;
80 wire main_maccore_ethphy_liteethphymiitx_converter_sink_ready;
81 reg main_maccore_ethphy_liteethphymiitx_converter_sink_first = 1'd0;
82 reg main_maccore_ethphy_liteethphymiitx_converter_sink_last = 1'd0;
83 wire [7:0] main_maccore_ethphy_liteethphymiitx_converter_sink_payload_data;
84 wire main_maccore_ethphy_liteethphymiitx_converter_source_valid;
85 wire main_maccore_ethphy_liteethphymiitx_converter_source_ready;
86 wire main_maccore_ethphy_liteethphymiitx_converter_source_first;
87 wire main_maccore_ethphy_liteethphymiitx_converter_source_last;
88 wire [3:0] main_maccore_ethphy_liteethphymiitx_converter_source_payload_data;
89 wire main_maccore_ethphy_liteethphymiitx_converter_converter_sink_valid;
90 wire main_maccore_ethphy_liteethphymiitx_converter_converter_sink_ready;
91 wire main_maccore_ethphy_liteethphymiitx_converter_converter_sink_first;
92 wire main_maccore_ethphy_liteethphymiitx_converter_converter_sink_last;
93 reg [7:0] main_maccore_ethphy_liteethphymiitx_converter_converter_sink_payload_data = 8'd0;
94 wire main_maccore_ethphy_liteethphymiitx_converter_converter_source_valid;
95 wire main_maccore_ethphy_liteethphymiitx_converter_converter_source_ready;
96 wire main_maccore_ethphy_liteethphymiitx_converter_converter_source_first;
97 wire main_maccore_ethphy_liteethphymiitx_converter_converter_source_last;
98 reg [3:0] main_maccore_ethphy_liteethphymiitx_converter_converter_source_payload_data = 4'd0;
99 wire main_maccore_ethphy_liteethphymiitx_converter_converter_source_payload_valid_token_count;
100 reg main_maccore_ethphy_liteethphymiitx_converter_converter_mux = 1'd0;
101 wire main_maccore_ethphy_liteethphymiitx_converter_converter_first;
102 wire main_maccore_ethphy_liteethphymiitx_converter_converter_last;
103 wire main_maccore_ethphy_liteethphymiitx_converter_source_source_valid;
104 wire main_maccore_ethphy_liteethphymiitx_converter_source_source_ready;
105 wire main_maccore_ethphy_liteethphymiitx_converter_source_source_first;
106 wire main_maccore_ethphy_liteethphymiitx_converter_source_source_last;
107 wire [3:0] main_maccore_ethphy_liteethphymiitx_converter_source_source_payload_data;
108 wire main_maccore_ethphy_liteethphymiirx_source_source_valid;
109 wire main_maccore_ethphy_liteethphymiirx_source_source_ready;
110 wire main_maccore_ethphy_liteethphymiirx_source_source_first;
111 wire main_maccore_ethphy_liteethphymiirx_source_source_last;
112 wire [7:0] main_maccore_ethphy_liteethphymiirx_source_source_payload_data;
113 reg main_maccore_ethphy_liteethphymiirx_source_source_payload_last_be = 1'd0;
114 reg main_maccore_ethphy_liteethphymiirx_source_source_payload_error = 1'd0;
115 reg main_maccore_ethphy_liteethphymiirx_converter_sink_valid = 1'd0;
116 wire main_maccore_ethphy_liteethphymiirx_converter_sink_ready;
117 reg main_maccore_ethphy_liteethphymiirx_converter_sink_first = 1'd0;
118 wire main_maccore_ethphy_liteethphymiirx_converter_sink_last;
119 reg [3:0] main_maccore_ethphy_liteethphymiirx_converter_sink_payload_data = 4'd0;
120 wire main_maccore_ethphy_liteethphymiirx_converter_source_valid;
121 wire main_maccore_ethphy_liteethphymiirx_converter_source_ready;
122 wire main_maccore_ethphy_liteethphymiirx_converter_source_first;
123 wire main_maccore_ethphy_liteethphymiirx_converter_source_last;
124 reg [7:0] main_maccore_ethphy_liteethphymiirx_converter_source_payload_data = 8'd0;
125 wire main_maccore_ethphy_liteethphymiirx_converter_converter_sink_valid;
126 wire main_maccore_ethphy_liteethphymiirx_converter_converter_sink_ready;
127 wire main_maccore_ethphy_liteethphymiirx_converter_converter_sink_first;
128 wire main_maccore_ethphy_liteethphymiirx_converter_converter_sink_last;
129 wire [3:0] main_maccore_ethphy_liteethphymiirx_converter_converter_sink_payload_data;
130 wire main_maccore_ethphy_liteethphymiirx_converter_converter_source_valid;
131 wire main_maccore_ethphy_liteethphymiirx_converter_converter_source_ready;
132 reg main_maccore_ethphy_liteethphymiirx_converter_converter_source_first = 1'd0;
133 reg main_maccore_ethphy_liteethphymiirx_converter_converter_source_last = 1'd0;
134 reg [7:0] main_maccore_ethphy_liteethphymiirx_converter_converter_source_payload_data = 8'd0;
135 reg [1:0] main_maccore_ethphy_liteethphymiirx_converter_converter_source_payload_valid_token_count = 2'd0;
136 reg main_maccore_ethphy_liteethphymiirx_converter_converter_demux = 1'd0;
137 wire main_maccore_ethphy_liteethphymiirx_converter_converter_load_part;
138 reg main_maccore_ethphy_liteethphymiirx_converter_converter_strobe_all = 1'd0;
139 wire main_maccore_ethphy_liteethphymiirx_converter_source_source_valid;
140 wire main_maccore_ethphy_liteethphymiirx_converter_source_source_ready;
141 wire main_maccore_ethphy_liteethphymiirx_converter_source_source_first;
142 wire main_maccore_ethphy_liteethphymiirx_converter_source_source_last;
143 wire [7:0] main_maccore_ethphy_liteethphymiirx_converter_source_source_payload_data;
144 reg main_maccore_ethphy_liteethphymiirx_converter_reset = 1'd0;
145 wire main_maccore_ethphy_mdc;
146 wire main_maccore_ethphy_oe;
147 wire main_maccore_ethphy_w;
148 reg [2:0] main_maccore_ethphy_storage = 3'd0;
149 reg main_maccore_ethphy_re = 1'd0;
150 reg main_maccore_ethphy_r = 1'd0;
151 reg main_maccore_ethphy_status = 1'd0;
152 wire main_maccore_ethphy_we;
153 wire main_maccore_ethphy_data_w;
154 wire main_maccore_ethphy_data_oe;
155 wire main_maccore_ethphy_data_r;
156 wire main_tx_gap_inserter_sink_valid;
157 reg main_tx_gap_inserter_sink_ready = 1'd0;
158 wire main_tx_gap_inserter_sink_first;
159 wire main_tx_gap_inserter_sink_last;
160 wire [7:0] main_tx_gap_inserter_sink_payload_data;
161 wire main_tx_gap_inserter_sink_payload_last_be;
162 wire main_tx_gap_inserter_sink_payload_error;
163 reg main_tx_gap_inserter_source_valid = 1'd0;
164 wire main_tx_gap_inserter_source_ready;
165 reg main_tx_gap_inserter_source_first = 1'd0;
166 reg main_tx_gap_inserter_source_last = 1'd0;
167 reg [7:0] main_tx_gap_inserter_source_payload_data = 8'd0;
168 reg main_tx_gap_inserter_source_payload_last_be = 1'd0;
169 reg main_tx_gap_inserter_source_payload_error = 1'd0;
170 reg [3:0] main_tx_gap_inserter_counter = 4'd0;
171 reg main_tx_gap_inserter_counter_reset = 1'd0;
172 reg main_tx_gap_inserter_counter_ce = 1'd0;
173 reg main_preamble_crc_status = 1'd1;
174 wire main_preamble_crc_we;
175 reg [31:0] main_preamble_errors_status = 32'd0;
176 wire main_preamble_errors_we;
177 reg [31:0] main_crc_errors_status = 32'd0;
178 wire main_crc_errors_we;
179 wire main_preamble_inserter_sink_valid;
180 reg main_preamble_inserter_sink_ready = 1'd0;
181 wire main_preamble_inserter_sink_first;
182 wire main_preamble_inserter_sink_last;
183 wire [7:0] main_preamble_inserter_sink_payload_data;
184 wire main_preamble_inserter_sink_payload_last_be;
185 wire main_preamble_inserter_sink_payload_error;
186 reg main_preamble_inserter_source_valid = 1'd0;
187 wire main_preamble_inserter_source_ready;
188 reg main_preamble_inserter_source_first = 1'd0;
189 reg main_preamble_inserter_source_last = 1'd0;
190 reg [7:0] main_preamble_inserter_source_payload_data = 8'd0;
191 wire main_preamble_inserter_source_payload_last_be;
192 reg main_preamble_inserter_source_payload_error = 1'd0;
193 reg [63:0] main_preamble_inserter_preamble = 64'd15372286728091293013;
194 reg [2:0] main_preamble_inserter_cnt = 3'd0;
195 reg main_preamble_inserter_clr_cnt = 1'd0;
196 reg main_preamble_inserter_inc_cnt = 1'd0;
197 wire main_preamble_checker_sink_valid;
198 reg main_preamble_checker_sink_ready = 1'd0;
199 wire main_preamble_checker_sink_first;
200 wire main_preamble_checker_sink_last;
201 wire [7:0] main_preamble_checker_sink_payload_data;
202 wire main_preamble_checker_sink_payload_last_be;
203 wire main_preamble_checker_sink_payload_error;
204 reg main_preamble_checker_source_valid = 1'd0;
205 wire main_preamble_checker_source_ready;
206 reg main_preamble_checker_source_first = 1'd0;
207 reg main_preamble_checker_source_last = 1'd0;
208 wire [7:0] main_preamble_checker_source_payload_data;
209 wire main_preamble_checker_source_payload_last_be;
210 reg main_preamble_checker_source_payload_error = 1'd0;
211 reg main_preamble_checker_error = 1'd0;
212 wire main_crc32_inserter_sink_valid;
213 reg main_crc32_inserter_sink_ready = 1'd0;
214 wire main_crc32_inserter_sink_first;
215 wire main_crc32_inserter_sink_last;
216 wire [7:0] main_crc32_inserter_sink_payload_data;
217 wire main_crc32_inserter_sink_payload_last_be;
218 wire main_crc32_inserter_sink_payload_error;
219 reg main_crc32_inserter_source_valid = 1'd0;
220 wire main_crc32_inserter_source_ready;
221 reg main_crc32_inserter_source_first = 1'd0;
222 reg main_crc32_inserter_source_last = 1'd0;
223 reg [7:0] main_crc32_inserter_source_payload_data = 8'd0;
224 reg main_crc32_inserter_source_payload_last_be = 1'd0;
225 reg main_crc32_inserter_source_payload_error = 1'd0;
226 reg [7:0] main_crc32_inserter_data0 = 8'd0;
227 wire [31:0] main_crc32_inserter_value;
228 wire main_crc32_inserter_error;
229 wire [7:0] main_crc32_inserter_data1;
230 wire [31:0] main_crc32_inserter_last;
231 reg [31:0] main_crc32_inserter_next = 32'd0;
232 reg [31:0] main_crc32_inserter_reg = 32'd4294967295;
233 reg main_crc32_inserter_ce = 1'd0;
234 reg main_crc32_inserter_reset = 1'd0;
235 reg [1:0] main_crc32_inserter_cnt = 2'd3;
236 wire main_crc32_inserter_cnt_done;
237 reg main_crc32_inserter_is_ongoing0 = 1'd0;
238 reg main_crc32_inserter_is_ongoing1 = 1'd0;
239 wire main_crc32_checker_sink_sink_valid;
240 reg main_crc32_checker_sink_sink_ready = 1'd0;
241 wire main_crc32_checker_sink_sink_first;
242 wire main_crc32_checker_sink_sink_last;
243 wire [7:0] main_crc32_checker_sink_sink_payload_data;
244 wire main_crc32_checker_sink_sink_payload_last_be;
245 wire main_crc32_checker_sink_sink_payload_error;
246 wire main_crc32_checker_source_source_valid;
247 wire main_crc32_checker_source_source_ready;
248 reg main_crc32_checker_source_source_first = 1'd0;
249 wire main_crc32_checker_source_source_last;
250 wire [7:0] main_crc32_checker_source_source_payload_data;
251 wire main_crc32_checker_source_source_payload_last_be;
252 reg main_crc32_checker_source_source_payload_error = 1'd0;
253 wire main_crc32_checker_error;
254 wire [7:0] main_crc32_checker_crc_data0;
255 wire [31:0] main_crc32_checker_crc_value;
256 wire main_crc32_checker_crc_error;
257 wire [7:0] main_crc32_checker_crc_data1;
258 wire [31:0] main_crc32_checker_crc_last;
259 reg [31:0] main_crc32_checker_crc_next = 32'd0;
260 reg [31:0] main_crc32_checker_crc_reg = 32'd4294967295;
261 reg main_crc32_checker_crc_ce = 1'd0;
262 reg main_crc32_checker_crc_reset = 1'd0;
263 reg main_crc32_checker_syncfifo_sink_valid = 1'd0;
264 wire main_crc32_checker_syncfifo_sink_ready;
265 wire main_crc32_checker_syncfifo_sink_first;
266 wire main_crc32_checker_syncfifo_sink_last;
267 wire [7:0] main_crc32_checker_syncfifo_sink_payload_data;
268 wire main_crc32_checker_syncfifo_sink_payload_last_be;
269 wire main_crc32_checker_syncfifo_sink_payload_error;
270 wire main_crc32_checker_syncfifo_source_valid;
271 wire main_crc32_checker_syncfifo_source_ready;
272 wire main_crc32_checker_syncfifo_source_first;
273 wire main_crc32_checker_syncfifo_source_last;
274 wire [7:0] main_crc32_checker_syncfifo_source_payload_data;
275 wire main_crc32_checker_syncfifo_source_payload_last_be;
276 wire main_crc32_checker_syncfifo_source_payload_error;
277 wire main_crc32_checker_syncfifo_syncfifo_we;
278 wire main_crc32_checker_syncfifo_syncfifo_writable;
279 wire main_crc32_checker_syncfifo_syncfifo_re;
280 wire main_crc32_checker_syncfifo_syncfifo_readable;
281 wire [11:0] main_crc32_checker_syncfifo_syncfifo_din;
282 wire [11:0] main_crc32_checker_syncfifo_syncfifo_dout;
283 reg [2:0] main_crc32_checker_syncfifo_level = 3'd0;
284 reg main_crc32_checker_syncfifo_replace = 1'd0;
285 reg [2:0] main_crc32_checker_syncfifo_produce = 3'd0;
286 reg [2:0] main_crc32_checker_syncfifo_consume = 3'd0;
287 reg [2:0] main_crc32_checker_syncfifo_wrport_adr = 3'd0;
288 wire [11:0] main_crc32_checker_syncfifo_wrport_dat_r;
289 wire main_crc32_checker_syncfifo_wrport_we;
290 wire [11:0] main_crc32_checker_syncfifo_wrport_dat_w;
291 wire main_crc32_checker_syncfifo_do_read;
292 wire [2:0] main_crc32_checker_syncfifo_rdport_adr;
293 wire [11:0] main_crc32_checker_syncfifo_rdport_dat_r;
294 wire [7:0] main_crc32_checker_syncfifo_fifo_in_payload_data;
295 wire main_crc32_checker_syncfifo_fifo_in_payload_last_be;
296 wire main_crc32_checker_syncfifo_fifo_in_payload_error;
297 wire main_crc32_checker_syncfifo_fifo_in_first;
298 wire main_crc32_checker_syncfifo_fifo_in_last;
299 wire [7:0] main_crc32_checker_syncfifo_fifo_out_payload_data;
300 wire main_crc32_checker_syncfifo_fifo_out_payload_last_be;
301 wire main_crc32_checker_syncfifo_fifo_out_payload_error;
302 wire main_crc32_checker_syncfifo_fifo_out_first;
303 wire main_crc32_checker_syncfifo_fifo_out_last;
304 reg main_crc32_checker_fifo_reset = 1'd0;
305 wire main_crc32_checker_fifo_in;
306 wire main_crc32_checker_fifo_out;
307 wire main_crc32_checker_fifo_full;
308 wire main_ps_preamble_error_i;
309 wire main_ps_preamble_error_o;
310 reg main_ps_preamble_error_toggle_i = 1'd0;
311 wire main_ps_preamble_error_toggle_o;
312 reg main_ps_preamble_error_toggle_o_r = 1'd0;
313 wire main_ps_crc_error_i;
314 wire main_ps_crc_error_o;
315 reg main_ps_crc_error_toggle_i = 1'd0;
316 wire main_ps_crc_error_toggle_o;
317 reg main_ps_crc_error_toggle_o_r = 1'd0;
318 wire main_padding_inserter_sink_valid;
319 reg main_padding_inserter_sink_ready = 1'd0;
320 wire main_padding_inserter_sink_first;
321 wire main_padding_inserter_sink_last;
322 wire [7:0] main_padding_inserter_sink_payload_data;
323 wire main_padding_inserter_sink_payload_last_be;
324 wire main_padding_inserter_sink_payload_error;
325 reg main_padding_inserter_source_valid = 1'd0;
326 wire main_padding_inserter_source_ready;
327 reg main_padding_inserter_source_first = 1'd0;
328 reg main_padding_inserter_source_last = 1'd0;
329 reg [7:0] main_padding_inserter_source_payload_data = 8'd0;
330 reg main_padding_inserter_source_payload_last_be = 1'd0;
331 reg main_padding_inserter_source_payload_error = 1'd0;
332 reg [15:0] main_padding_inserter_counter = 16'd1;
333 wire main_padding_inserter_counter_done;
334 reg main_padding_inserter_counter_reset = 1'd0;
335 reg main_padding_inserter_counter_ce = 1'd0;
336 wire main_padding_checker_sink_valid;
337 wire main_padding_checker_sink_ready;
338 wire main_padding_checker_sink_first;
339 wire main_padding_checker_sink_last;
340 wire [7:0] main_padding_checker_sink_payload_data;
341 wire main_padding_checker_sink_payload_last_be;
342 wire main_padding_checker_sink_payload_error;
343 wire main_padding_checker_source_valid;
344 wire main_padding_checker_source_ready;
345 wire main_padding_checker_source_first;
346 wire main_padding_checker_source_last;
347 wire [7:0] main_padding_checker_source_payload_data;
348 wire main_padding_checker_source_payload_last_be;
349 wire main_padding_checker_source_payload_error;
350 wire main_tx_last_be_sink_valid;
351 wire main_tx_last_be_sink_ready;
352 wire main_tx_last_be_sink_first;
353 wire main_tx_last_be_sink_last;
354 wire [7:0] main_tx_last_be_sink_payload_data;
355 wire main_tx_last_be_sink_payload_last_be;
356 wire main_tx_last_be_sink_payload_error;
357 wire main_tx_last_be_source_valid;
358 wire main_tx_last_be_source_ready;
359 reg main_tx_last_be_source_first = 1'd0;
360 wire main_tx_last_be_source_last;
361 wire [7:0] main_tx_last_be_source_payload_data;
362 reg main_tx_last_be_source_payload_last_be = 1'd0;
363 reg main_tx_last_be_source_payload_error = 1'd0;
364 reg main_tx_last_be_ongoing = 1'd1;
365 wire main_rx_last_be_sink_valid;
366 wire main_rx_last_be_sink_ready;
367 wire main_rx_last_be_sink_first;
368 wire main_rx_last_be_sink_last;
369 wire [7:0] main_rx_last_be_sink_payload_data;
370 wire main_rx_last_be_sink_payload_last_be;
371 wire main_rx_last_be_sink_payload_error;
372 wire main_rx_last_be_source_valid;
373 wire main_rx_last_be_source_ready;
374 wire main_rx_last_be_source_first;
375 wire main_rx_last_be_source_last;
376 wire [7:0] main_rx_last_be_source_payload_data;
377 reg main_rx_last_be_source_payload_last_be = 1'd0;
378 wire main_rx_last_be_source_payload_error;
379 wire main_tx_converter_sink_valid;
380 wire main_tx_converter_sink_ready;
381 wire main_tx_converter_sink_first;
382 wire main_tx_converter_sink_last;
383 wire [31:0] main_tx_converter_sink_payload_data;
384 wire [3:0] main_tx_converter_sink_payload_last_be;
385 wire [3:0] main_tx_converter_sink_payload_error;
386 wire main_tx_converter_source_valid;
387 wire main_tx_converter_source_ready;
388 wire main_tx_converter_source_first;
389 wire main_tx_converter_source_last;
390 wire [7:0] main_tx_converter_source_payload_data;
391 wire main_tx_converter_source_payload_last_be;
392 wire main_tx_converter_source_payload_error;
393 wire main_tx_converter_converter_sink_valid;
394 wire main_tx_converter_converter_sink_ready;
395 wire main_tx_converter_converter_sink_first;
396 wire main_tx_converter_converter_sink_last;
397 reg [39:0] main_tx_converter_converter_sink_payload_data = 40'd0;
398 wire main_tx_converter_converter_source_valid;
399 wire main_tx_converter_converter_source_ready;
400 wire main_tx_converter_converter_source_first;
401 wire main_tx_converter_converter_source_last;
402 reg [9:0] main_tx_converter_converter_source_payload_data = 10'd0;
403 wire main_tx_converter_converter_source_payload_valid_token_count;
404 reg [1:0] main_tx_converter_converter_mux = 2'd0;
405 wire main_tx_converter_converter_first;
406 wire main_tx_converter_converter_last;
407 wire main_tx_converter_source_source_valid;
408 wire main_tx_converter_source_source_ready;
409 wire main_tx_converter_source_source_first;
410 wire main_tx_converter_source_source_last;
411 wire [9:0] main_tx_converter_source_source_payload_data;
412 wire main_rx_converter_sink_valid;
413 wire main_rx_converter_sink_ready;
414 wire main_rx_converter_sink_first;
415 wire main_rx_converter_sink_last;
416 wire [7:0] main_rx_converter_sink_payload_data;
417 wire main_rx_converter_sink_payload_last_be;
418 wire main_rx_converter_sink_payload_error;
419 wire main_rx_converter_source_valid;
420 wire main_rx_converter_source_ready;
421 wire main_rx_converter_source_first;
422 wire main_rx_converter_source_last;
423 reg [31:0] main_rx_converter_source_payload_data = 32'd0;
424 reg [3:0] main_rx_converter_source_payload_last_be = 4'd0;
425 reg [3:0] main_rx_converter_source_payload_error = 4'd0;
426 wire main_rx_converter_converter_sink_valid;
427 wire main_rx_converter_converter_sink_ready;
428 wire main_rx_converter_converter_sink_first;
429 wire main_rx_converter_converter_sink_last;
430 wire [9:0] main_rx_converter_converter_sink_payload_data;
431 wire main_rx_converter_converter_source_valid;
432 wire main_rx_converter_converter_source_ready;
433 reg main_rx_converter_converter_source_first = 1'd0;
434 reg main_rx_converter_converter_source_last = 1'd0;
435 reg [39:0] main_rx_converter_converter_source_payload_data = 40'd0;
436 reg [2:0] main_rx_converter_converter_source_payload_valid_token_count = 3'd0;
437 reg [1:0] main_rx_converter_converter_demux = 2'd0;
438 wire main_rx_converter_converter_load_part;
439 reg main_rx_converter_converter_strobe_all = 1'd0;
440 wire main_rx_converter_source_source_valid;
441 wire main_rx_converter_source_source_ready;
442 wire main_rx_converter_source_source_first;
443 wire main_rx_converter_source_source_last;
444 wire [39:0] main_rx_converter_source_source_payload_data;
445 wire main_tx_cdc_sink_valid;
446 wire main_tx_cdc_sink_ready;
447 wire main_tx_cdc_sink_first;
448 wire main_tx_cdc_sink_last;
449 wire [31:0] main_tx_cdc_sink_payload_data;
450 wire [3:0] main_tx_cdc_sink_payload_last_be;
451 wire [3:0] main_tx_cdc_sink_payload_error;
452 wire main_tx_cdc_source_valid;
453 wire main_tx_cdc_source_ready;
454 wire main_tx_cdc_source_first;
455 wire main_tx_cdc_source_last;
456 wire [31:0] main_tx_cdc_source_payload_data;
457 wire [3:0] main_tx_cdc_source_payload_last_be;
458 wire [3:0] main_tx_cdc_source_payload_error;
459 wire main_tx_cdc_asyncfifo_we;
460 wire main_tx_cdc_asyncfifo_writable;
461 wire main_tx_cdc_asyncfifo_re;
462 wire main_tx_cdc_asyncfifo_readable;
463 wire [41:0] main_tx_cdc_asyncfifo_din;
464 wire [41:0] main_tx_cdc_asyncfifo_dout;
465 wire main_tx_cdc_graycounter0_ce;
466 (* dont_touch = "true" *) reg [6:0] main_tx_cdc_graycounter0_q = 7'd0;
467 wire [6:0] main_tx_cdc_graycounter0_q_next;
468 reg [6:0] main_tx_cdc_graycounter0_q_binary = 7'd0;
469 reg [6:0] main_tx_cdc_graycounter0_q_next_binary = 7'd0;
470 wire main_tx_cdc_graycounter1_ce;
471 (* dont_touch = "true" *) reg [6:0] main_tx_cdc_graycounter1_q = 7'd0;
472 wire [6:0] main_tx_cdc_graycounter1_q_next;
473 reg [6:0] main_tx_cdc_graycounter1_q_binary = 7'd0;
474 reg [6:0] main_tx_cdc_graycounter1_q_next_binary = 7'd0;
475 wire [6:0] main_tx_cdc_produce_rdomain;
476 wire [6:0] main_tx_cdc_consume_wdomain;
477 wire [5:0] main_tx_cdc_wrport_adr;
478 wire [41:0] main_tx_cdc_wrport_dat_r;
479 wire main_tx_cdc_wrport_we;
480 wire [41:0] main_tx_cdc_wrport_dat_w;
481 wire [5:0] main_tx_cdc_rdport_adr;
482 wire [41:0] main_tx_cdc_rdport_dat_r;
483 wire [31:0] main_tx_cdc_fifo_in_payload_data;
484 wire [3:0] main_tx_cdc_fifo_in_payload_last_be;
485 wire [3:0] main_tx_cdc_fifo_in_payload_error;
486 wire main_tx_cdc_fifo_in_first;
487 wire main_tx_cdc_fifo_in_last;
488 wire [31:0] main_tx_cdc_fifo_out_payload_data;
489 wire [3:0] main_tx_cdc_fifo_out_payload_last_be;
490 wire [3:0] main_tx_cdc_fifo_out_payload_error;
491 wire main_tx_cdc_fifo_out_first;
492 wire main_tx_cdc_fifo_out_last;
493 wire main_rx_cdc_sink_valid;
494 wire main_rx_cdc_sink_ready;
495 wire main_rx_cdc_sink_first;
496 wire main_rx_cdc_sink_last;
497 wire [31:0] main_rx_cdc_sink_payload_data;
498 wire [3:0] main_rx_cdc_sink_payload_last_be;
499 wire [3:0] main_rx_cdc_sink_payload_error;
500 wire main_rx_cdc_source_valid;
501 wire main_rx_cdc_source_ready;
502 wire main_rx_cdc_source_first;
503 wire main_rx_cdc_source_last;
504 wire [31:0] main_rx_cdc_source_payload_data;
505 wire [3:0] main_rx_cdc_source_payload_last_be;
506 wire [3:0] main_rx_cdc_source_payload_error;
507 wire main_rx_cdc_asyncfifo_we;
508 wire main_rx_cdc_asyncfifo_writable;
509 wire main_rx_cdc_asyncfifo_re;
510 wire main_rx_cdc_asyncfifo_readable;
511 wire [41:0] main_rx_cdc_asyncfifo_din;
512 wire [41:0] main_rx_cdc_asyncfifo_dout;
513 wire main_rx_cdc_graycounter0_ce;
514 (* dont_touch = "true" *) reg [6:0] main_rx_cdc_graycounter0_q = 7'd0;
515 wire [6:0] main_rx_cdc_graycounter0_q_next;
516 reg [6:0] main_rx_cdc_graycounter0_q_binary = 7'd0;
517 reg [6:0] main_rx_cdc_graycounter0_q_next_binary = 7'd0;
518 wire main_rx_cdc_graycounter1_ce;
519 (* dont_touch = "true" *) reg [6:0] main_rx_cdc_graycounter1_q = 7'd0;
520 wire [6:0] main_rx_cdc_graycounter1_q_next;
521 reg [6:0] main_rx_cdc_graycounter1_q_binary = 7'd0;
522 reg [6:0] main_rx_cdc_graycounter1_q_next_binary = 7'd0;
523 wire [6:0] main_rx_cdc_produce_rdomain;
524 wire [6:0] main_rx_cdc_consume_wdomain;
525 wire [5:0] main_rx_cdc_wrport_adr;
526 wire [41:0] main_rx_cdc_wrport_dat_r;
527 wire main_rx_cdc_wrport_we;
528 wire [41:0] main_rx_cdc_wrport_dat_w;
529 wire [5:0] main_rx_cdc_rdport_adr;
530 wire [41:0] main_rx_cdc_rdport_dat_r;
531 wire [31:0] main_rx_cdc_fifo_in_payload_data;
532 wire [3:0] main_rx_cdc_fifo_in_payload_last_be;
533 wire [3:0] main_rx_cdc_fifo_in_payload_error;
534 wire main_rx_cdc_fifo_in_first;
535 wire main_rx_cdc_fifo_in_last;
536 wire [31:0] main_rx_cdc_fifo_out_payload_data;
537 wire [3:0] main_rx_cdc_fifo_out_payload_last_be;
538 wire [3:0] main_rx_cdc_fifo_out_payload_error;
539 wire main_rx_cdc_fifo_out_first;
540 wire main_rx_cdc_fifo_out_last;
541 wire main_sink_valid;
542 wire main_sink_ready;
543 wire main_sink_first;
544 wire main_sink_last;
545 wire [31:0] main_sink_payload_data;
546 wire [3:0] main_sink_payload_last_be;
547 wire [3:0] main_sink_payload_error;
548 wire main_source_valid;
549 wire main_source_ready;
550 wire main_source_first;
551 wire main_source_last;
552 wire [31:0] main_source_payload_data;
553 wire [3:0] main_source_payload_last_be;
554 wire [3:0] main_source_payload_error;
555 wire [29:0] main_bus_adr;
556 wire [31:0] main_bus_dat_w;
557 wire [31:0] main_bus_dat_r;
558 wire [3:0] main_bus_sel;
559 wire main_bus_cyc;
560 wire main_bus_stb;
561 wire main_bus_ack;
562 wire main_bus_we;
563 wire [2:0] main_bus_cti;
564 wire [1:0] main_bus_bte;
565 wire main_bus_err;
566 wire main_writer_sink_sink_valid;
567 reg main_writer_sink_sink_ready = 1'd1;
568 wire main_writer_sink_sink_first;
569 wire main_writer_sink_sink_last;
570 wire [31:0] main_writer_sink_sink_payload_data;
571 wire [3:0] main_writer_sink_sink_payload_last_be;
572 wire [3:0] main_writer_sink_sink_payload_error;
573 wire main_writer_slot_status;
574 wire main_writer_slot_we;
575 wire [31:0] main_writer_length_status;
576 wire main_writer_length_we;
577 reg [31:0] main_writer_errors_status = 32'd0;
578 wire main_writer_errors_we;
579 wire main_writer_irq;
580 wire main_writer_available_status;
581 wire main_writer_available_pending;
582 wire main_writer_available_trigger;
583 reg main_writer_available_clear = 1'd0;
584 wire main_writer_status_re;
585 wire main_writer_status_r;
586 wire main_writer_status_we;
587 wire main_writer_status_w;
588 wire main_writer_pending_re;
589 wire main_writer_pending_r;
590 wire main_writer_pending_we;
591 wire main_writer_pending_w;
592 reg main_writer_storage = 1'd0;
593 reg main_writer_re = 1'd0;
594 reg [2:0] main_writer_inc = 3'd0;
595 reg [31:0] main_writer_counter = 32'd0;
596 reg main_writer_slot = 1'd0;
597 reg main_writer_slot_ce = 1'd0;
598 reg main_writer_ongoing = 1'd0;
599 reg main_writer_fifo_sink_valid = 1'd0;
600 wire main_writer_fifo_sink_ready;
601 reg main_writer_fifo_sink_first = 1'd0;
602 reg main_writer_fifo_sink_last = 1'd0;
603 wire main_writer_fifo_sink_payload_slot;
604 wire [31:0] main_writer_fifo_sink_payload_length;
605 wire main_writer_fifo_source_valid;
606 wire main_writer_fifo_source_ready;
607 wire main_writer_fifo_source_first;
608 wire main_writer_fifo_source_last;
609 wire main_writer_fifo_source_payload_slot;
610 wire [31:0] main_writer_fifo_source_payload_length;
611 wire main_writer_fifo_syncfifo_we;
612 wire main_writer_fifo_syncfifo_writable;
613 wire main_writer_fifo_syncfifo_re;
614 wire main_writer_fifo_syncfifo_readable;
615 wire [34:0] main_writer_fifo_syncfifo_din;
616 wire [34:0] main_writer_fifo_syncfifo_dout;
617 reg [1:0] main_writer_fifo_level = 2'd0;
618 reg main_writer_fifo_replace = 1'd0;
619 reg main_writer_fifo_produce = 1'd0;
620 reg main_writer_fifo_consume = 1'd0;
621 reg main_writer_fifo_wrport_adr = 1'd0;
622 wire [34:0] main_writer_fifo_wrport_dat_r;
623 wire main_writer_fifo_wrport_we;
624 wire [34:0] main_writer_fifo_wrport_dat_w;
625 wire main_writer_fifo_do_read;
626 wire main_writer_fifo_rdport_adr;
627 wire [34:0] main_writer_fifo_rdport_dat_r;
628 wire main_writer_fifo_fifo_in_payload_slot;
629 wire [31:0] main_writer_fifo_fifo_in_payload_length;
630 wire main_writer_fifo_fifo_in_first;
631 wire main_writer_fifo_fifo_in_last;
632 wire main_writer_fifo_fifo_out_payload_slot;
633 wire [31:0] main_writer_fifo_fifo_out_payload_length;
634 wire main_writer_fifo_fifo_out_first;
635 wire main_writer_fifo_fifo_out_last;
636 reg [8:0] main_writer_memory0_adr = 9'd0;
637 wire [31:0] main_writer_memory0_dat_r;
638 reg main_writer_memory0_we = 1'd0;
639 reg [31:0] main_writer_memory0_dat_w = 32'd0;
640 reg [8:0] main_writer_memory1_adr = 9'd0;
641 wire [31:0] main_writer_memory1_dat_r;
642 reg main_writer_memory1_we = 1'd0;
643 reg [31:0] main_writer_memory1_dat_w = 32'd0;
644 reg main_reader_source_source_valid = 1'd0;
645 wire main_reader_source_source_ready;
646 reg main_reader_source_source_first = 1'd0;
647 reg main_reader_source_source_last = 1'd0;
648 reg [31:0] main_reader_source_source_payload_data = 32'd0;
649 reg [3:0] main_reader_source_source_payload_last_be = 4'd0;
650 reg [3:0] main_reader_source_source_payload_error = 4'd0;
651 wire main_reader_start_re;
652 wire main_reader_start_r;
653 wire main_reader_start_we;
654 reg main_reader_start_w = 1'd0;
655 wire main_reader_ready_status;
656 wire main_reader_ready_we;
657 wire [1:0] main_reader_level_status;
658 wire main_reader_level_we;
659 reg main_reader_slot_storage = 1'd0;
660 reg main_reader_slot_re = 1'd0;
661 reg [10:0] main_reader_length_storage = 11'd0;
662 reg main_reader_length_re = 1'd0;
663 wire main_reader_irq;
664 wire main_reader_done_status;
665 reg main_reader_done_pending = 1'd0;
666 reg main_reader_done_trigger = 1'd0;
667 reg main_reader_done_clear = 1'd0;
668 wire main_reader_eventmanager_status_re;
669 wire main_reader_eventmanager_status_r;
670 wire main_reader_eventmanager_status_we;
671 wire main_reader_eventmanager_status_w;
672 wire main_reader_eventmanager_pending_re;
673 wire main_reader_eventmanager_pending_r;
674 wire main_reader_eventmanager_pending_we;
675 wire main_reader_eventmanager_pending_w;
676 reg main_reader_eventmanager_storage = 1'd0;
677 reg main_reader_eventmanager_re = 1'd0;
678 wire main_reader_fifo_sink_valid;
679 wire main_reader_fifo_sink_ready;
680 reg main_reader_fifo_sink_first = 1'd0;
681 reg main_reader_fifo_sink_last = 1'd0;
682 wire main_reader_fifo_sink_payload_slot;
683 wire [10:0] main_reader_fifo_sink_payload_length;
684 wire main_reader_fifo_source_valid;
685 reg main_reader_fifo_source_ready = 1'd0;
686 wire main_reader_fifo_source_first;
687 wire main_reader_fifo_source_last;
688 wire main_reader_fifo_source_payload_slot;
689 wire [10:0] main_reader_fifo_source_payload_length;
690 wire main_reader_fifo_syncfifo_we;
691 wire main_reader_fifo_syncfifo_writable;
692 wire main_reader_fifo_syncfifo_re;
693 wire main_reader_fifo_syncfifo_readable;
694 wire [13:0] main_reader_fifo_syncfifo_din;
695 wire [13:0] main_reader_fifo_syncfifo_dout;
696 reg [1:0] main_reader_fifo_level = 2'd0;
697 reg main_reader_fifo_replace = 1'd0;
698 reg main_reader_fifo_produce = 1'd0;
699 reg main_reader_fifo_consume = 1'd0;
700 reg main_reader_fifo_wrport_adr = 1'd0;
701 wire [13:0] main_reader_fifo_wrport_dat_r;
702 wire main_reader_fifo_wrport_we;
703 wire [13:0] main_reader_fifo_wrport_dat_w;
704 wire main_reader_fifo_do_read;
705 wire main_reader_fifo_rdport_adr;
706 wire [13:0] main_reader_fifo_rdport_dat_r;
707 wire main_reader_fifo_fifo_in_payload_slot;
708 wire [10:0] main_reader_fifo_fifo_in_payload_length;
709 wire main_reader_fifo_fifo_in_first;
710 wire main_reader_fifo_fifo_in_last;
711 wire main_reader_fifo_fifo_out_payload_slot;
712 wire [10:0] main_reader_fifo_fifo_out_payload_length;
713 wire main_reader_fifo_fifo_out_first;
714 wire main_reader_fifo_fifo_out_last;
715 reg [10:0] main_reader_counter = 11'd0;
716 wire [8:0] main_reader_memory0_adr;
717 wire [31:0] main_reader_memory0_dat_r;
718 wire [8:0] main_reader_memory1_adr;
719 wire [31:0] main_reader_memory1_dat_r;
720 wire main_ev_irq;
721 wire [29:0] main_sram0_bus_adr0;
722 wire [31:0] main_sram0_bus_dat_w0;
723 wire [31:0] main_sram0_bus_dat_r0;
724 wire [3:0] main_sram0_bus_sel0;
725 wire main_sram0_bus_cyc0;
726 wire main_sram0_bus_stb0;
727 reg main_sram0_bus_ack0 = 1'd0;
728 wire main_sram0_bus_we0;
729 wire [2:0] main_sram0_bus_cti0;
730 wire [1:0] main_sram0_bus_bte0;
731 reg main_sram0_bus_err0 = 1'd0;
732 wire [8:0] main_sram0_adr0;
733 wire [31:0] main_sram0_dat_r0;
734 wire [29:0] main_sram1_bus_adr0;
735 wire [31:0] main_sram1_bus_dat_w0;
736 wire [31:0] main_sram1_bus_dat_r0;
737 wire [3:0] main_sram1_bus_sel0;
738 wire main_sram1_bus_cyc0;
739 wire main_sram1_bus_stb0;
740 reg main_sram1_bus_ack0 = 1'd0;
741 wire main_sram1_bus_we0;
742 wire [2:0] main_sram1_bus_cti0;
743 wire [1:0] main_sram1_bus_bte0;
744 reg main_sram1_bus_err0 = 1'd0;
745 wire [8:0] main_sram1_adr0;
746 wire [31:0] main_sram1_dat_r0;
747 wire [29:0] main_sram0_bus_adr1;
748 wire [31:0] main_sram0_bus_dat_w1;
749 wire [31:0] main_sram0_bus_dat_r1;
750 wire [3:0] main_sram0_bus_sel1;
751 wire main_sram0_bus_cyc1;
752 wire main_sram0_bus_stb1;
753 reg main_sram0_bus_ack1 = 1'd0;
754 wire main_sram0_bus_we1;
755 wire [2:0] main_sram0_bus_cti1;
756 wire [1:0] main_sram0_bus_bte1;
757 reg main_sram0_bus_err1 = 1'd0;
758 wire [8:0] main_sram0_adr1;
759 wire [31:0] main_sram0_dat_r1;
760 reg [3:0] main_sram0_we = 4'd0;
761 wire [31:0] main_sram0_dat_w;
762 wire [29:0] main_sram1_bus_adr1;
763 wire [31:0] main_sram1_bus_dat_w1;
764 wire [31:0] main_sram1_bus_dat_r1;
765 wire [3:0] main_sram1_bus_sel1;
766 wire main_sram1_bus_cyc1;
767 wire main_sram1_bus_stb1;
768 reg main_sram1_bus_ack1 = 1'd0;
769 wire main_sram1_bus_we1;
770 wire [2:0] main_sram1_bus_cti1;
771 wire [1:0] main_sram1_bus_bte1;
772 reg main_sram1_bus_err1 = 1'd0;
773 wire [8:0] main_sram1_adr1;
774 wire [31:0] main_sram1_dat_r1;
775 reg [3:0] main_sram1_we = 4'd0;
776 wire [31:0] main_sram1_dat_w;
777 reg [3:0] main_slave_sel = 4'd0;
778 reg [3:0] main_slave_sel_r = 4'd0;
779 reg builder_state = 1'd0;
780 reg builder_next_state = 1'd0;
781 reg builder_liteethmacgap_state = 1'd0;
782 reg builder_liteethmacgap_next_state = 1'd0;
783 reg [1:0] builder_liteethmacpreambleinserter_state = 2'd0;
784 reg [1:0] builder_liteethmacpreambleinserter_next_state = 2'd0;
785 reg builder_liteethmacpreamblechecker_state = 1'd0;
786 reg builder_liteethmacpreamblechecker_next_state = 1'd0;
787 reg [1:0] builder_liteethmaccrc32inserter_state = 2'd0;
788 reg [1:0] builder_liteethmaccrc32inserter_next_state = 2'd0;
789 reg [1:0] builder_liteethmaccrc32checker_state = 2'd0;
790 reg [1:0] builder_liteethmaccrc32checker_next_state = 2'd0;
791 reg builder_liteethmacpaddinginserter_state = 1'd0;
792 reg builder_liteethmacpaddinginserter_next_state = 1'd0;
793 reg [2:0] builder_liteethmacsramwriter_state = 3'd0;
794 reg [2:0] builder_liteethmacsramwriter_next_state = 3'd0;
795 reg [31:0] main_writer_counter_t_next_value = 32'd0;
796 reg main_writer_counter_t_next_value_ce = 1'd0;
797 reg [31:0] main_writer_errors_status_f_next_value = 32'd0;
798 reg main_writer_errors_status_f_next_value_ce = 1'd0;
799 reg [1:0] builder_liteethmacsramreader_state = 2'd0;
800 reg [1:0] builder_liteethmacsramreader_next_state = 2'd0;
801 reg [10:0] main_reader_counter_next_value = 11'd0;
802 reg main_reader_counter_next_value_ce = 1'd0;
803 wire [29:0] builder_shared_adr;
804 wire [31:0] builder_shared_dat_w;
805 reg [31:0] builder_shared_dat_r = 32'd0;
806 wire [3:0] builder_shared_sel;
807 wire builder_shared_cyc;
808 wire builder_shared_stb;
809 reg builder_shared_ack = 1'd0;
810 wire builder_shared_we;
811 wire [2:0] builder_shared_cti;
812 wire [1:0] builder_shared_bte;
813 wire builder_shared_err;
814 wire builder_request;
815 wire builder_grant;
816 reg [1:0] builder_slave_sel = 2'd0;
817 reg [1:0] builder_slave_sel_r = 2'd0;
818 reg builder_error = 1'd0;
819 wire builder_wait;
820 wire builder_done;
821 reg [19:0] builder_count = 20'd1000000;
822 wire [13:0] builder_interface0_bank_bus_adr;
823 wire builder_interface0_bank_bus_we;
824 wire [31:0] builder_interface0_bank_bus_dat_w;
825 reg [31:0] builder_interface0_bank_bus_dat_r = 32'd0;
826 wire builder_csrbank0_reset0_re;
827 wire builder_csrbank0_reset0_r;
828 wire builder_csrbank0_reset0_we;
829 wire builder_csrbank0_reset0_w;
830 wire builder_csrbank0_scratch0_re;
831 wire [31:0] builder_csrbank0_scratch0_r;
832 wire builder_csrbank0_scratch0_we;
833 wire [31:0] builder_csrbank0_scratch0_w;
834 wire builder_csrbank0_bus_errors_re;
835 wire [31:0] builder_csrbank0_bus_errors_r;
836 wire builder_csrbank0_bus_errors_we;
837 wire [31:0] builder_csrbank0_bus_errors_w;
838 wire builder_csrbank0_sel;
839 wire [13:0] builder_interface1_bank_bus_adr;
840 wire builder_interface1_bank_bus_we;
841 wire [31:0] builder_interface1_bank_bus_dat_w;
842 reg [31:0] builder_interface1_bank_bus_dat_r = 32'd0;
843 wire builder_csrbank1_sram_writer_slot_re;
844 wire builder_csrbank1_sram_writer_slot_r;
845 wire builder_csrbank1_sram_writer_slot_we;
846 wire builder_csrbank1_sram_writer_slot_w;
847 wire builder_csrbank1_sram_writer_length_re;
848 wire [31:0] builder_csrbank1_sram_writer_length_r;
849 wire builder_csrbank1_sram_writer_length_we;
850 wire [31:0] builder_csrbank1_sram_writer_length_w;
851 wire builder_csrbank1_sram_writer_errors_re;
852 wire [31:0] builder_csrbank1_sram_writer_errors_r;
853 wire builder_csrbank1_sram_writer_errors_we;
854 wire [31:0] builder_csrbank1_sram_writer_errors_w;
855 wire builder_csrbank1_sram_writer_ev_enable0_re;
856 wire builder_csrbank1_sram_writer_ev_enable0_r;
857 wire builder_csrbank1_sram_writer_ev_enable0_we;
858 wire builder_csrbank1_sram_writer_ev_enable0_w;
859 wire builder_csrbank1_sram_reader_ready_re;
860 wire builder_csrbank1_sram_reader_ready_r;
861 wire builder_csrbank1_sram_reader_ready_we;
862 wire builder_csrbank1_sram_reader_ready_w;
863 wire builder_csrbank1_sram_reader_level_re;
864 wire [1:0] builder_csrbank1_sram_reader_level_r;
865 wire builder_csrbank1_sram_reader_level_we;
866 wire [1:0] builder_csrbank1_sram_reader_level_w;
867 wire builder_csrbank1_sram_reader_slot0_re;
868 wire builder_csrbank1_sram_reader_slot0_r;
869 wire builder_csrbank1_sram_reader_slot0_we;
870 wire builder_csrbank1_sram_reader_slot0_w;
871 wire builder_csrbank1_sram_reader_length0_re;
872 wire [10:0] builder_csrbank1_sram_reader_length0_r;
873 wire builder_csrbank1_sram_reader_length0_we;
874 wire [10:0] builder_csrbank1_sram_reader_length0_w;
875 wire builder_csrbank1_sram_reader_ev_enable0_re;
876 wire builder_csrbank1_sram_reader_ev_enable0_r;
877 wire builder_csrbank1_sram_reader_ev_enable0_we;
878 wire builder_csrbank1_sram_reader_ev_enable0_w;
879 wire builder_csrbank1_preamble_crc_re;
880 wire builder_csrbank1_preamble_crc_r;
881 wire builder_csrbank1_preamble_crc_we;
882 wire builder_csrbank1_preamble_crc_w;
883 wire builder_csrbank1_preamble_errors_re;
884 wire [31:0] builder_csrbank1_preamble_errors_r;
885 wire builder_csrbank1_preamble_errors_we;
886 wire [31:0] builder_csrbank1_preamble_errors_w;
887 wire builder_csrbank1_crc_errors_re;
888 wire [31:0] builder_csrbank1_crc_errors_r;
889 wire builder_csrbank1_crc_errors_we;
890 wire [31:0] builder_csrbank1_crc_errors_w;
891 wire builder_csrbank1_sel;
892 wire [13:0] builder_interface2_bank_bus_adr;
893 wire builder_interface2_bank_bus_we;
894 wire [31:0] builder_interface2_bank_bus_dat_w;
895 reg [31:0] builder_interface2_bank_bus_dat_r = 32'd0;
896 wire builder_csrbank2_crg_reset0_re;
897 wire builder_csrbank2_crg_reset0_r;
898 wire builder_csrbank2_crg_reset0_we;
899 wire builder_csrbank2_crg_reset0_w;
900 wire builder_csrbank2_mdio_w0_re;
901 wire [2:0] builder_csrbank2_mdio_w0_r;
902 wire builder_csrbank2_mdio_w0_we;
903 wire [2:0] builder_csrbank2_mdio_w0_w;
904 wire builder_csrbank2_mdio_r_re;
905 wire builder_csrbank2_mdio_r_r;
906 wire builder_csrbank2_mdio_r_we;
907 wire builder_csrbank2_mdio_r_w;
908 wire builder_csrbank2_sel;
909 wire [13:0] builder_adr;
910 wire builder_we;
911 wire [31:0] builder_dat_w;
912 wire [31:0] builder_dat_r;
913 reg [29:0] builder_array_muxed0 = 30'd0;
914 reg [31:0] builder_array_muxed1 = 32'd0;
915 reg [3:0] builder_array_muxed2 = 4'd0;
916 reg builder_array_muxed3 = 1'd0;
917 reg builder_array_muxed4 = 1'd0;
918 reg builder_array_muxed5 = 1'd0;
919 reg [2:0] builder_array_muxed6 = 3'd0;
920 reg [1:0] builder_array_muxed7 = 2'd0;
921 wire builder_rst_meta0;
922 wire builder_rst_meta1;
923 (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) reg builder_xilinxmultiregimpl0_regs0 = 1'd0;
924 (* async_reg = "true", dont_touch = "true" *) reg builder_xilinxmultiregimpl0_regs1 = 1'd0;
925 (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) reg builder_xilinxmultiregimpl1_regs0 = 1'd0;
926 (* async_reg = "true", dont_touch = "true" *) reg builder_xilinxmultiregimpl1_regs1 = 1'd0;
927 (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) reg builder_xilinxmultiregimpl2_regs0 = 1'd0;
928 (* async_reg = "true", dont_touch = "true" *) reg builder_xilinxmultiregimpl2_regs1 = 1'd0;
929 (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) reg [6:0] builder_xilinxmultiregimpl3_regs0 = 7'd0;
930 (* async_reg = "true", dont_touch = "true" *) reg [6:0] builder_xilinxmultiregimpl3_regs1 = 7'd0;
931 (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) reg [6:0] builder_xilinxmultiregimpl4_regs0 = 7'd0;
932 (* async_reg = "true", dont_touch = "true" *) reg [6:0] builder_xilinxmultiregimpl4_regs1 = 7'd0;
933 (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) reg [6:0] builder_xilinxmultiregimpl5_regs0 = 7'd0;
934 (* async_reg = "true", dont_touch = "true" *) reg [6:0] builder_xilinxmultiregimpl5_regs1 = 7'd0;
935 (* async_reg = "true", mr_ff = "true", dont_touch = "true" *) reg [6:0] builder_xilinxmultiregimpl6_regs0 = 7'd0;
936 (* async_reg = "true", dont_touch = "true" *) reg [6:0] builder_xilinxmultiregimpl6_regs1 = 7'd0;
937
938 assign interrupt = main_ev_irq;
939 assign main_maccore_maccore_bus_error = builder_error;
940 assign main_maccore_maccore_reset = main_maccore_maccore_reset_re;
941 assign main_maccore_maccore_bus_errors_status = main_maccore_maccore_bus_errors;
942 assign main_maccore_maccore_dat_w = main_maccore_maccore_wishbone_dat_w;
943 assign main_maccore_maccore_wishbone_dat_r = main_maccore_maccore_dat_r;
944 always @(*) begin
945 main_maccore_maccore_we <= 1'd0;
946 main_maccore_maccore_wishbone_ack <= 1'd0;
947 builder_next_state <= 1'd0;
948 main_maccore_maccore_adr <= 14'd0;
949 builder_next_state <= builder_state;
950 case (builder_state)
951 1'd1: begin
952 main_maccore_maccore_wishbone_ack <= 1'd1;
953 builder_next_state <= 1'd0;
954 end
955 default: begin
956 if ((main_maccore_maccore_wishbone_cyc & main_maccore_maccore_wishbone_stb)) begin
957 main_maccore_maccore_adr <= main_maccore_maccore_wishbone_adr;
958 main_maccore_maccore_we <= (main_maccore_maccore_wishbone_we & (main_maccore_maccore_wishbone_sel != 1'd0));
959 builder_next_state <= 1'd1;
960 end
961 end
962 endcase
963 end
964 assign sys_clk = sys_clock;
965 assign por_clk = sys_clock;
966 assign sys_rst = main_maccore_int_rst;
967 assign eth_rx_clk = mii_eth_clocks_rx;
968 assign eth_tx_clk = mii_eth_clocks_tx;
969 assign main_maccore_ethphy_reset0 = (main_maccore_ethphy_reset_storage | main_maccore_ethphy_reset1);
970 assign mii_eth_rst_n = (~main_maccore_ethphy_reset0);
971 assign main_maccore_ethphy_counter_done = (main_maccore_ethphy_counter == 9'd256);
972 assign main_maccore_ethphy_counter_ce = (~main_maccore_ethphy_counter_done);
973 assign main_maccore_ethphy_reset1 = (~main_maccore_ethphy_counter_done);
974 assign main_maccore_ethphy_liteethphymiitx_converter_sink_valid = main_maccore_ethphy_liteethphymiitx_sink_sink_valid;
975 assign main_maccore_ethphy_liteethphymiitx_converter_sink_payload_data = main_maccore_ethphy_liteethphymiitx_sink_sink_payload_data;
976 assign main_maccore_ethphy_liteethphymiitx_sink_sink_ready = main_maccore_ethphy_liteethphymiitx_converter_sink_ready;
977 assign main_maccore_ethphy_liteethphymiitx_converter_source_ready = 1'd1;
978 assign main_maccore_ethphy_liteethphymiitx_converter_converter_sink_valid = main_maccore_ethphy_liteethphymiitx_converter_sink_valid;
979 assign main_maccore_ethphy_liteethphymiitx_converter_converter_sink_first = main_maccore_ethphy_liteethphymiitx_converter_sink_first;
980 assign main_maccore_ethphy_liteethphymiitx_converter_converter_sink_last = main_maccore_ethphy_liteethphymiitx_converter_sink_last;
981 assign main_maccore_ethphy_liteethphymiitx_converter_sink_ready = main_maccore_ethphy_liteethphymiitx_converter_converter_sink_ready;
982 always @(*) begin
983 main_maccore_ethphy_liteethphymiitx_converter_converter_sink_payload_data <= 8'd0;
984 main_maccore_ethphy_liteethphymiitx_converter_converter_sink_payload_data[3:0] <= main_maccore_ethphy_liteethphymiitx_converter_sink_payload_data[3:0];
985 main_maccore_ethphy_liteethphymiitx_converter_converter_sink_payload_data[7:4] <= main_maccore_ethphy_liteethphymiitx_converter_sink_payload_data[7:4];
986 end
987 assign main_maccore_ethphy_liteethphymiitx_converter_source_valid = main_maccore_ethphy_liteethphymiitx_converter_source_source_valid;
988 assign main_maccore_ethphy_liteethphymiitx_converter_source_first = main_maccore_ethphy_liteethphymiitx_converter_source_source_first;
989 assign main_maccore_ethphy_liteethphymiitx_converter_source_last = main_maccore_ethphy_liteethphymiitx_converter_source_source_last;
990 assign main_maccore_ethphy_liteethphymiitx_converter_source_source_ready = main_maccore_ethphy_liteethphymiitx_converter_source_ready;
991 assign {main_maccore_ethphy_liteethphymiitx_converter_source_payload_data} = main_maccore_ethphy_liteethphymiitx_converter_source_source_payload_data;
992 assign main_maccore_ethphy_liteethphymiitx_converter_source_source_valid = main_maccore_ethphy_liteethphymiitx_converter_converter_source_valid;
993 assign main_maccore_ethphy_liteethphymiitx_converter_converter_source_ready = main_maccore_ethphy_liteethphymiitx_converter_source_source_ready;
994 assign main_maccore_ethphy_liteethphymiitx_converter_source_source_first = main_maccore_ethphy_liteethphymiitx_converter_converter_source_first;
995 assign main_maccore_ethphy_liteethphymiitx_converter_source_source_last = main_maccore_ethphy_liteethphymiitx_converter_converter_source_last;
996 assign main_maccore_ethphy_liteethphymiitx_converter_source_source_payload_data = main_maccore_ethphy_liteethphymiitx_converter_converter_source_payload_data;
997 assign main_maccore_ethphy_liteethphymiitx_converter_converter_first = (main_maccore_ethphy_liteethphymiitx_converter_converter_mux == 1'd0);
998 assign main_maccore_ethphy_liteethphymiitx_converter_converter_last = (main_maccore_ethphy_liteethphymiitx_converter_converter_mux == 1'd1);
999 assign main_maccore_ethphy_liteethphymiitx_converter_converter_source_valid = main_maccore_ethphy_liteethphymiitx_converter_converter_sink_valid;
1000 assign main_maccore_ethphy_liteethphymiitx_converter_converter_source_first = (main_maccore_ethphy_liteethphymiitx_converter_converter_sink_first & main_maccore_ethphy_liteethphymiitx_converter_converter_first);
1001 assign main_maccore_ethphy_liteethphymiitx_converter_converter_source_last = (main_maccore_ethphy_liteethphymiitx_converter_converter_sink_last & main_maccore_ethphy_liteethphymiitx_converter_converter_last);
1002 assign main_maccore_ethphy_liteethphymiitx_converter_converter_sink_ready = (main_maccore_ethphy_liteethphymiitx_converter_converter_last & main_maccore_ethphy_liteethphymiitx_converter_converter_source_ready);
1003 always @(*) begin
1004 main_maccore_ethphy_liteethphymiitx_converter_converter_source_payload_data <= 4'd0;
1005 case (main_maccore_ethphy_liteethphymiitx_converter_converter_mux)
1006 1'd0: begin
1007 main_maccore_ethphy_liteethphymiitx_converter_converter_source_payload_data <= main_maccore_ethphy_liteethphymiitx_converter_converter_sink_payload_data[3:0];
1008 end
1009 default: begin
1010 main_maccore_ethphy_liteethphymiitx_converter_converter_source_payload_data <= main_maccore_ethphy_liteethphymiitx_converter_converter_sink_payload_data[7:4];
1011 end
1012 endcase
1013 end
1014 assign main_maccore_ethphy_liteethphymiitx_converter_converter_source_payload_valid_token_count = main_maccore_ethphy_liteethphymiitx_converter_converter_last;
1015 assign main_maccore_ethphy_liteethphymiirx_converter_sink_last = (~mii_eth_rx_dv);
1016 assign main_maccore_ethphy_liteethphymiirx_source_source_valid = main_maccore_ethphy_liteethphymiirx_converter_source_valid;
1017 assign main_maccore_ethphy_liteethphymiirx_converter_source_ready = main_maccore_ethphy_liteethphymiirx_source_source_ready;
1018 assign main_maccore_ethphy_liteethphymiirx_source_source_first = main_maccore_ethphy_liteethphymiirx_converter_source_first;
1019 assign main_maccore_ethphy_liteethphymiirx_source_source_last = main_maccore_ethphy_liteethphymiirx_converter_source_last;
1020 assign main_maccore_ethphy_liteethphymiirx_source_source_payload_data = main_maccore_ethphy_liteethphymiirx_converter_source_payload_data;
1021 assign main_maccore_ethphy_liteethphymiirx_converter_converter_sink_valid = main_maccore_ethphy_liteethphymiirx_converter_sink_valid;
1022 assign main_maccore_ethphy_liteethphymiirx_converter_converter_sink_first = main_maccore_ethphy_liteethphymiirx_converter_sink_first;
1023 assign main_maccore_ethphy_liteethphymiirx_converter_converter_sink_last = main_maccore_ethphy_liteethphymiirx_converter_sink_last;
1024 assign main_maccore_ethphy_liteethphymiirx_converter_sink_ready = main_maccore_ethphy_liteethphymiirx_converter_converter_sink_ready;
1025 assign main_maccore_ethphy_liteethphymiirx_converter_converter_sink_payload_data = {main_maccore_ethphy_liteethphymiirx_converter_sink_payload_data};
1026 assign main_maccore_ethphy_liteethphymiirx_converter_source_valid = main_maccore_ethphy_liteethphymiirx_converter_source_source_valid;
1027 assign main_maccore_ethphy_liteethphymiirx_converter_source_first = main_maccore_ethphy_liteethphymiirx_converter_source_source_first;
1028 assign main_maccore_ethphy_liteethphymiirx_converter_source_last = main_maccore_ethphy_liteethphymiirx_converter_source_source_last;
1029 assign main_maccore_ethphy_liteethphymiirx_converter_source_source_ready = main_maccore_ethphy_liteethphymiirx_converter_source_ready;
1030 always @(*) begin
1031 main_maccore_ethphy_liteethphymiirx_converter_source_payload_data <= 8'd0;
1032 main_maccore_ethphy_liteethphymiirx_converter_source_payload_data[3:0] <= main_maccore_ethphy_liteethphymiirx_converter_source_source_payload_data[3:0];
1033 main_maccore_ethphy_liteethphymiirx_converter_source_payload_data[7:4] <= main_maccore_ethphy_liteethphymiirx_converter_source_source_payload_data[7:4];
1034 end
1035 assign main_maccore_ethphy_liteethphymiirx_converter_source_source_valid = main_maccore_ethphy_liteethphymiirx_converter_converter_source_valid;
1036 assign main_maccore_ethphy_liteethphymiirx_converter_converter_source_ready = main_maccore_ethphy_liteethphymiirx_converter_source_source_ready;
1037 assign main_maccore_ethphy_liteethphymiirx_converter_source_source_first = main_maccore_ethphy_liteethphymiirx_converter_converter_source_first;
1038 assign main_maccore_ethphy_liteethphymiirx_converter_source_source_last = main_maccore_ethphy_liteethphymiirx_converter_converter_source_last;
1039 assign main_maccore_ethphy_liteethphymiirx_converter_source_source_payload_data = main_maccore_ethphy_liteethphymiirx_converter_converter_source_payload_data;
1040 assign main_maccore_ethphy_liteethphymiirx_converter_converter_sink_ready = ((~main_maccore_ethphy_liteethphymiirx_converter_converter_strobe_all) | main_maccore_ethphy_liteethphymiirx_converter_converter_source_ready);
1041 assign main_maccore_ethphy_liteethphymiirx_converter_converter_source_valid = main_maccore_ethphy_liteethphymiirx_converter_converter_strobe_all;
1042 assign main_maccore_ethphy_liteethphymiirx_converter_converter_load_part = (main_maccore_ethphy_liteethphymiirx_converter_converter_sink_valid & main_maccore_ethphy_liteethphymiirx_converter_converter_sink_ready);
1043 assign mii_eth_mdc = main_maccore_ethphy_storage[0];
1044 assign main_maccore_ethphy_data_oe = main_maccore_ethphy_storage[1];
1045 assign main_maccore_ethphy_data_w = main_maccore_ethphy_storage[2];
1046 assign main_tx_cdc_sink_valid = main_source_valid;
1047 assign main_source_ready = main_tx_cdc_sink_ready;
1048 assign main_tx_cdc_sink_first = main_source_first;
1049 assign main_tx_cdc_sink_last = main_source_last;
1050 assign main_tx_cdc_sink_payload_data = main_source_payload_data;
1051 assign main_tx_cdc_sink_payload_last_be = main_source_payload_last_be;
1052 assign main_tx_cdc_sink_payload_error = main_source_payload_error;
1053 assign main_sink_valid = main_rx_cdc_source_valid;
1054 assign main_rx_cdc_source_ready = main_sink_ready;
1055 assign main_sink_first = main_rx_cdc_source_first;
1056 assign main_sink_last = main_rx_cdc_source_last;
1057 assign main_sink_payload_data = main_rx_cdc_source_payload_data;
1058 assign main_sink_payload_last_be = main_rx_cdc_source_payload_last_be;
1059 assign main_sink_payload_error = main_rx_cdc_source_payload_error;
1060 assign main_ps_preamble_error_i = main_preamble_checker_error;
1061 assign main_ps_crc_error_i = main_crc32_checker_error;
1062 always @(*) begin
1063 main_tx_gap_inserter_source_valid <= 1'd0;
1064 main_tx_gap_inserter_source_first <= 1'd0;
1065 main_tx_gap_inserter_source_last <= 1'd0;
1066 main_tx_gap_inserter_source_payload_data <= 8'd0;
1067 main_tx_gap_inserter_source_payload_last_be <= 1'd0;
1068 main_tx_gap_inserter_source_payload_error <= 1'd0;
1069 main_tx_gap_inserter_counter_reset <= 1'd0;
1070 builder_liteethmacgap_next_state <= 1'd0;
1071 main_tx_gap_inserter_counter_ce <= 1'd0;
1072 main_tx_gap_inserter_sink_ready <= 1'd0;
1073 builder_liteethmacgap_next_state <= builder_liteethmacgap_state;
1074 case (builder_liteethmacgap_state)
1075 1'd1: begin
1076 main_tx_gap_inserter_counter_ce <= 1'd1;
1077 if ((main_tx_gap_inserter_counter == 4'd11)) begin
1078 builder_liteethmacgap_next_state <= 1'd0;
1079 end
1080 end
1081 default: begin
1082 main_tx_gap_inserter_counter_reset <= 1'd1;
1083 main_tx_gap_inserter_source_valid <= main_tx_gap_inserter_sink_valid;
1084 main_tx_gap_inserter_sink_ready <= main_tx_gap_inserter_source_ready;
1085 main_tx_gap_inserter_source_first <= main_tx_gap_inserter_sink_first;
1086 main_tx_gap_inserter_source_last <= main_tx_gap_inserter_sink_last;
1087 main_tx_gap_inserter_source_payload_data <= main_tx_gap_inserter_sink_payload_data;
1088 main_tx_gap_inserter_source_payload_last_be <= main_tx_gap_inserter_sink_payload_last_be;
1089 main_tx_gap_inserter_source_payload_error <= main_tx_gap_inserter_sink_payload_error;
1090 if (((main_tx_gap_inserter_sink_valid & main_tx_gap_inserter_sink_last) & main_tx_gap_inserter_sink_ready)) begin
1091 builder_liteethmacgap_next_state <= 1'd1;
1092 end
1093 end
1094 endcase
1095 end
1096 assign main_preamble_inserter_source_payload_last_be = main_preamble_inserter_sink_payload_last_be;
1097 always @(*) begin
1098 builder_liteethmacpreambleinserter_next_state <= 2'd0;
1099 main_preamble_inserter_source_last <= 1'd0;
1100 main_preamble_inserter_source_payload_data <= 8'd0;
1101 main_preamble_inserter_source_payload_error <= 1'd0;
1102 main_preamble_inserter_clr_cnt <= 1'd0;
1103 main_preamble_inserter_sink_ready <= 1'd0;
1104 main_preamble_inserter_inc_cnt <= 1'd0;
1105 main_preamble_inserter_source_valid <= 1'd0;
1106 main_preamble_inserter_source_first <= 1'd0;
1107 main_preamble_inserter_source_payload_data <= main_preamble_inserter_sink_payload_data;
1108 builder_liteethmacpreambleinserter_next_state <= builder_liteethmacpreambleinserter_state;
1109 case (builder_liteethmacpreambleinserter_state)
1110 1'd1: begin
1111 main_preamble_inserter_source_valid <= 1'd1;
1112 case (main_preamble_inserter_cnt)
1113 1'd0: begin
1114 main_preamble_inserter_source_payload_data <= main_preamble_inserter_preamble[7:0];
1115 end
1116 1'd1: begin
1117 main_preamble_inserter_source_payload_data <= main_preamble_inserter_preamble[15:8];
1118 end
1119 2'd2: begin
1120 main_preamble_inserter_source_payload_data <= main_preamble_inserter_preamble[23:16];
1121 end
1122 2'd3: begin
1123 main_preamble_inserter_source_payload_data <= main_preamble_inserter_preamble[31:24];
1124 end
1125 3'd4: begin
1126 main_preamble_inserter_source_payload_data <= main_preamble_inserter_preamble[39:32];
1127 end
1128 3'd5: begin
1129 main_preamble_inserter_source_payload_data <= main_preamble_inserter_preamble[47:40];
1130 end
1131 3'd6: begin
1132 main_preamble_inserter_source_payload_data <= main_preamble_inserter_preamble[55:48];
1133 end
1134 default: begin
1135 main_preamble_inserter_source_payload_data <= main_preamble_inserter_preamble[63:56];
1136 end
1137 endcase
1138 if ((main_preamble_inserter_cnt == 3'd7)) begin
1139 if (main_preamble_inserter_source_ready) begin
1140 builder_liteethmacpreambleinserter_next_state <= 2'd2;
1141 end
1142 end else begin
1143 main_preamble_inserter_inc_cnt <= main_preamble_inserter_source_ready;
1144 end
1145 end
1146 2'd2: begin
1147 main_preamble_inserter_source_valid <= main_preamble_inserter_sink_valid;
1148 main_preamble_inserter_sink_ready <= main_preamble_inserter_source_ready;
1149 main_preamble_inserter_source_first <= main_preamble_inserter_sink_first;
1150 main_preamble_inserter_source_last <= main_preamble_inserter_sink_last;
1151 main_preamble_inserter_source_payload_error <= main_preamble_inserter_sink_payload_error;
1152 if (((main_preamble_inserter_sink_valid & main_preamble_inserter_sink_last) & main_preamble_inserter_source_ready)) begin
1153 builder_liteethmacpreambleinserter_next_state <= 1'd0;
1154 end
1155 end
1156 default: begin
1157 main_preamble_inserter_sink_ready <= 1'd1;
1158 main_preamble_inserter_clr_cnt <= 1'd1;
1159 if (main_preamble_inserter_sink_valid) begin
1160 main_preamble_inserter_sink_ready <= 1'd0;
1161 builder_liteethmacpreambleinserter_next_state <= 1'd1;
1162 end
1163 end
1164 endcase
1165 end
1166 assign main_preamble_checker_source_payload_data = main_preamble_checker_sink_payload_data;
1167 assign main_preamble_checker_source_payload_last_be = main_preamble_checker_sink_payload_last_be;
1168 always @(*) begin
1169 main_preamble_checker_source_last <= 1'd0;
1170 main_preamble_checker_source_payload_error <= 1'd0;
1171 main_preamble_checker_source_first <= 1'd0;
1172 main_preamble_checker_error <= 1'd0;
1173 builder_liteethmacpreamblechecker_next_state <= 1'd0;
1174 main_preamble_checker_source_valid <= 1'd0;
1175 main_preamble_checker_sink_ready <= 1'd0;
1176 builder_liteethmacpreamblechecker_next_state <= builder_liteethmacpreamblechecker_state;
1177 case (builder_liteethmacpreamblechecker_state)
1178 1'd1: begin
1179 main_preamble_checker_source_valid <= main_preamble_checker_sink_valid;
1180 main_preamble_checker_sink_ready <= main_preamble_checker_source_ready;
1181 main_preamble_checker_source_first <= main_preamble_checker_sink_first;
1182 main_preamble_checker_source_last <= main_preamble_checker_sink_last;
1183 main_preamble_checker_source_payload_error <= main_preamble_checker_sink_payload_error;
1184 if (((main_preamble_checker_source_valid & main_preamble_checker_source_last) & main_preamble_checker_source_ready)) begin
1185 builder_liteethmacpreamblechecker_next_state <= 1'd0;
1186 end
1187 end
1188 default: begin
1189 main_preamble_checker_sink_ready <= 1'd1;
1190 if (((main_preamble_checker_sink_valid & (~main_preamble_checker_sink_last)) & (main_preamble_checker_sink_payload_data == 8'd213))) begin
1191 builder_liteethmacpreamblechecker_next_state <= 1'd1;
1192 end
1193 if ((main_preamble_checker_sink_valid & main_preamble_checker_sink_last)) begin
1194 main_preamble_checker_error <= 1'd1;
1195 end
1196 end
1197 endcase
1198 end
1199 assign main_crc32_inserter_cnt_done = (main_crc32_inserter_cnt == 1'd0);
1200 assign main_crc32_inserter_data1 = main_crc32_inserter_data0;
1201 assign main_crc32_inserter_last = main_crc32_inserter_reg;
1202 assign main_crc32_inserter_value = (~{main_crc32_inserter_reg[0], main_crc32_inserter_reg[1], main_crc32_inserter_reg[2], main_crc32_inserter_reg[3], main_crc32_inserter_reg[4], main_crc32_inserter_reg[5], main_crc32_inserter_reg[6], main_crc32_inserter_reg[7], main_crc32_inserter_reg[8], main_crc32_inserter_reg[9], main_crc32_inserter_reg[10], main_crc32_inserter_reg[11], main_crc32_inserter_reg[12], main_crc32_inserter_reg[13], main_crc32_inserter_reg[14], main_crc32_inserter_reg[15], main_crc32_inserter_reg[16], main_crc32_inserter_reg[17], main_crc32_inserter_reg[18], main_crc32_inserter_reg[19], main_crc32_inserter_reg[20], main_crc32_inserter_reg[21], main_crc32_inserter_reg[22], main_crc32_inserter_reg[23], main_crc32_inserter_reg[24], main_crc32_inserter_reg[25], main_crc32_inserter_reg[26], main_crc32_inserter_reg[27], main_crc32_inserter_reg[28], main_crc32_inserter_reg[29], main_crc32_inserter_reg[30], main_crc32_inserter_reg[31]});
1203 assign main_crc32_inserter_error = (main_crc32_inserter_next != 32'd3338984827);
1204 always @(*) begin
1205 main_crc32_inserter_next <= 32'd0;
1206 main_crc32_inserter_next[0] <= (((main_crc32_inserter_last[24] ^ main_crc32_inserter_last[30]) ^ main_crc32_inserter_data1[1]) ^ main_crc32_inserter_data1[7]);
1207 main_crc32_inserter_next[1] <= (((((((main_crc32_inserter_last[25] ^ main_crc32_inserter_last[31]) ^ main_crc32_inserter_data1[0]) ^ main_crc32_inserter_data1[6]) ^ main_crc32_inserter_last[24]) ^ main_crc32_inserter_last[30]) ^ main_crc32_inserter_data1[1]) ^ main_crc32_inserter_data1[7]);
1208 main_crc32_inserter_next[2] <= (((((((((main_crc32_inserter_last[26] ^ main_crc32_inserter_data1[5]) ^ main_crc32_inserter_last[25]) ^ main_crc32_inserter_last[31]) ^ main_crc32_inserter_data1[0]) ^ main_crc32_inserter_data1[6]) ^ main_crc32_inserter_last[24]) ^ main_crc32_inserter_last[30]) ^ main_crc32_inserter_data1[1]) ^ main_crc32_inserter_data1[7]);
1209 main_crc32_inserter_next[3] <= (((((((main_crc32_inserter_last[27] ^ main_crc32_inserter_data1[4]) ^ main_crc32_inserter_last[26]) ^ main_crc32_inserter_data1[5]) ^ main_crc32_inserter_last[25]) ^ main_crc32_inserter_last[31]) ^ main_crc32_inserter_data1[0]) ^ main_crc32_inserter_data1[6]);
1210 main_crc32_inserter_next[4] <= (((((((((main_crc32_inserter_last[28] ^ main_crc32_inserter_data1[3]) ^ main_crc32_inserter_last[27]) ^ main_crc32_inserter_data1[4]) ^ main_crc32_inserter_last[26]) ^ main_crc32_inserter_data1[5]) ^ main_crc32_inserter_last[24]) ^ main_crc32_inserter_last[30]) ^ main_crc32_inserter_data1[1]) ^ main_crc32_inserter_data1[7]);
1211 main_crc32_inserter_next[5] <= (((((((((((((main_crc32_inserter_last[29] ^ main_crc32_inserter_data1[2]) ^ main_crc32_inserter_last[28]) ^ main_crc32_inserter_data1[3]) ^ main_crc32_inserter_last[27]) ^ main_crc32_inserter_data1[4]) ^ main_crc32_inserter_last[25]) ^ main_crc32_inserter_last[31]) ^ main_crc32_inserter_data1[0]) ^ main_crc32_inserter_data1[6]) ^ main_crc32_inserter_last[24]) ^ main_crc32_inserter_last[30]) ^ main_crc32_inserter_data1[1]) ^ main_crc32_inserter_data1[7]);
1212 main_crc32_inserter_next[6] <= (((((((((((main_crc32_inserter_last[30] ^ main_crc32_inserter_data1[1]) ^ main_crc32_inserter_last[29]) ^ main_crc32_inserter_data1[2]) ^ main_crc32_inserter_last[28]) ^ main_crc32_inserter_data1[3]) ^ main_crc32_inserter_last[26]) ^ main_crc32_inserter_data1[5]) ^ main_crc32_inserter_last[25]) ^ main_crc32_inserter_last[31]) ^ main_crc32_inserter_data1[0]) ^ main_crc32_inserter_data1[6]);
1213 main_crc32_inserter_next[7] <= (((((((((main_crc32_inserter_last[31] ^ main_crc32_inserter_data1[0]) ^ main_crc32_inserter_last[29]) ^ main_crc32_inserter_data1[2]) ^ main_crc32_inserter_last[27]) ^ main_crc32_inserter_data1[4]) ^ main_crc32_inserter_last[26]) ^ main_crc32_inserter_data1[5]) ^ main_crc32_inserter_last[24]) ^ main_crc32_inserter_data1[7]);
1214 main_crc32_inserter_next[8] <= ((((((((main_crc32_inserter_last[0] ^ main_crc32_inserter_last[28]) ^ main_crc32_inserter_data1[3]) ^ main_crc32_inserter_last[27]) ^ main_crc32_inserter_data1[4]) ^ main_crc32_inserter_last[25]) ^ main_crc32_inserter_data1[6]) ^ main_crc32_inserter_last[24]) ^ main_crc32_inserter_data1[7]);
1215 main_crc32_inserter_next[9] <= ((((((((main_crc32_inserter_last[1] ^ main_crc32_inserter_last[29]) ^ main_crc32_inserter_data1[2]) ^ main_crc32_inserter_last[28]) ^ main_crc32_inserter_data1[3]) ^ main_crc32_inserter_last[26]) ^ main_crc32_inserter_data1[5]) ^ main_crc32_inserter_last[25]) ^ main_crc32_inserter_data1[6]);
1216 main_crc32_inserter_next[10] <= ((((((((main_crc32_inserter_last[2] ^ main_crc32_inserter_last[29]) ^ main_crc32_inserter_data1[2]) ^ main_crc32_inserter_last[27]) ^ main_crc32_inserter_data1[4]) ^ main_crc32_inserter_last[26]) ^ main_crc32_inserter_data1[5]) ^ main_crc32_inserter_last[24]) ^ main_crc32_inserter_data1[7]);
1217 main_crc32_inserter_next[11] <= ((((((((main_crc32_inserter_last[3] ^ main_crc32_inserter_last[28]) ^ main_crc32_inserter_data1[3]) ^ main_crc32_inserter_last[27]) ^ main_crc32_inserter_data1[4]) ^ main_crc32_inserter_last[25]) ^ main_crc32_inserter_data1[6]) ^ main_crc32_inserter_last[24]) ^ main_crc32_inserter_data1[7]);
1218 main_crc32_inserter_next[12] <= ((((((((((((main_crc32_inserter_last[4] ^ main_crc32_inserter_last[29]) ^ main_crc32_inserter_data1[2]) ^ main_crc32_inserter_last[28]) ^ main_crc32_inserter_data1[3]) ^ main_crc32_inserter_last[26]) ^ main_crc32_inserter_data1[5]) ^ main_crc32_inserter_last[25]) ^ main_crc32_inserter_data1[6]) ^ main_crc32_inserter_last[24]) ^ main_crc32_inserter_last[30]) ^ main_crc32_inserter_data1[1]) ^ main_crc32_inserter_data1[7]);
1219 main_crc32_inserter_next[13] <= ((((((((((((main_crc32_inserter_last[5] ^ main_crc32_inserter_last[30]) ^ main_crc32_inserter_data1[1]) ^ main_crc32_inserter_last[29]) ^ main_crc32_inserter_data1[2]) ^ main_crc32_inserter_last[27]) ^ main_crc32_inserter_data1[4]) ^ main_crc32_inserter_last[26]) ^ main_crc32_inserter_data1[5]) ^ main_crc32_inserter_last[25]) ^ main_crc32_inserter_last[31]) ^ main_crc32_inserter_data1[0]) ^ main_crc32_inserter_data1[6]);
1220 main_crc32_inserter_next[14] <= ((((((((((main_crc32_inserter_last[6] ^ main_crc32_inserter_last[31]) ^ main_crc32_inserter_data1[0]) ^ main_crc32_inserter_last[30]) ^ main_crc32_inserter_data1[1]) ^ main_crc32_inserter_last[28]) ^ main_crc32_inserter_data1[3]) ^ main_crc32_inserter_last[27]) ^ main_crc32_inserter_data1[4]) ^ main_crc32_inserter_last[26]) ^ main_crc32_inserter_data1[5]);
1221 main_crc32_inserter_next[15] <= ((((((((main_crc32_inserter_last[7] ^ main_crc32_inserter_last[31]) ^ main_crc32_inserter_data1[0]) ^ main_crc32_inserter_last[29]) ^ main_crc32_inserter_data1[2]) ^ main_crc32_inserter_last[28]) ^ main_crc32_inserter_data1[3]) ^ main_crc32_inserter_last[27]) ^ main_crc32_inserter_data1[4]);
1222 main_crc32_inserter_next[16] <= ((((((main_crc32_inserter_last[8] ^ main_crc32_inserter_last[29]) ^ main_crc32_inserter_data1[2]) ^ main_crc32_inserter_last[28]) ^ main_crc32_inserter_data1[3]) ^ main_crc32_inserter_last[24]) ^ main_crc32_inserter_data1[7]);
1223 main_crc32_inserter_next[17] <= ((((((main_crc32_inserter_last[9] ^ main_crc32_inserter_last[30]) ^ main_crc32_inserter_data1[1]) ^ main_crc32_inserter_last[29]) ^ main_crc32_inserter_data1[2]) ^ main_crc32_inserter_last[25]) ^ main_crc32_inserter_data1[6]);
1224 main_crc32_inserter_next[18] <= ((((((main_crc32_inserter_last[10] ^ main_crc32_inserter_last[31]) ^ main_crc32_inserter_data1[0]) ^ main_crc32_inserter_last[30]) ^ main_crc32_inserter_data1[1]) ^ main_crc32_inserter_last[26]) ^ main_crc32_inserter_data1[5]);
1225 main_crc32_inserter_next[19] <= ((((main_crc32_inserter_last[11] ^ main_crc32_inserter_last[31]) ^ main_crc32_inserter_data1[0]) ^ main_crc32_inserter_last[27]) ^ main_crc32_inserter_data1[4]);
1226 main_crc32_inserter_next[20] <= ((main_crc32_inserter_last[12] ^ main_crc32_inserter_last[28]) ^ main_crc32_inserter_data1[3]);
1227 main_crc32_inserter_next[21] <= ((main_crc32_inserter_last[13] ^ main_crc32_inserter_last[29]) ^ main_crc32_inserter_data1[2]);
1228 main_crc32_inserter_next[22] <= ((main_crc32_inserter_last[14] ^ main_crc32_inserter_last[24]) ^ main_crc32_inserter_data1[7]);
1229 main_crc32_inserter_next[23] <= ((((((main_crc32_inserter_last[15] ^ main_crc32_inserter_last[25]) ^ main_crc32_inserter_data1[6]) ^ main_crc32_inserter_last[24]) ^ main_crc32_inserter_last[30]) ^ main_crc32_inserter_data1[1]) ^ main_crc32_inserter_data1[7]);
1230 main_crc32_inserter_next[24] <= ((((((main_crc32_inserter_last[16] ^ main_crc32_inserter_last[26]) ^ main_crc32_inserter_data1[5]) ^ main_crc32_inserter_last[25]) ^ main_crc32_inserter_last[31]) ^ main_crc32_inserter_data1[0]) ^ main_crc32_inserter_data1[6]);
1231 main_crc32_inserter_next[25] <= ((((main_crc32_inserter_last[17] ^ main_crc32_inserter_last[27]) ^ main_crc32_inserter_data1[4]) ^ main_crc32_inserter_last[26]) ^ main_crc32_inserter_data1[5]);
1232 main_crc32_inserter_next[26] <= ((((((((main_crc32_inserter_last[18] ^ main_crc32_inserter_last[28]) ^ main_crc32_inserter_data1[3]) ^ main_crc32_inserter_last[27]) ^ main_crc32_inserter_data1[4]) ^ main_crc32_inserter_last[24]) ^ main_crc32_inserter_last[30]) ^ main_crc32_inserter_data1[1]) ^ main_crc32_inserter_data1[7]);
1233 main_crc32_inserter_next[27] <= ((((((((main_crc32_inserter_last[19] ^ main_crc32_inserter_last[29]) ^ main_crc32_inserter_data1[2]) ^ main_crc32_inserter_last[28]) ^ main_crc32_inserter_data1[3]) ^ main_crc32_inserter_last[25]) ^ main_crc32_inserter_last[31]) ^ main_crc32_inserter_data1[0]) ^ main_crc32_inserter_data1[6]);
1234 main_crc32_inserter_next[28] <= ((((((main_crc32_inserter_last[20] ^ main_crc32_inserter_last[30]) ^ main_crc32_inserter_data1[1]) ^ main_crc32_inserter_last[29]) ^ main_crc32_inserter_data1[2]) ^ main_crc32_inserter_last[26]) ^ main_crc32_inserter_data1[5]);
1235 main_crc32_inserter_next[29] <= ((((((main_crc32_inserter_last[21] ^ main_crc32_inserter_last[31]) ^ main_crc32_inserter_data1[0]) ^ main_crc32_inserter_last[30]) ^ main_crc32_inserter_data1[1]) ^ main_crc32_inserter_last[27]) ^ main_crc32_inserter_data1[4]);
1236 main_crc32_inserter_next[30] <= ((((main_crc32_inserter_last[22] ^ main_crc32_inserter_last[31]) ^ main_crc32_inserter_data1[0]) ^ main_crc32_inserter_last[28]) ^ main_crc32_inserter_data1[3]);
1237 main_crc32_inserter_next[31] <= ((main_crc32_inserter_last[23] ^ main_crc32_inserter_last[29]) ^ main_crc32_inserter_data1[2]);
1238 end
1239 always @(*) begin
1240 main_crc32_inserter_source_valid <= 1'd0;
1241 main_crc32_inserter_source_first <= 1'd0;
1242 main_crc32_inserter_source_last <= 1'd0;
1243 main_crc32_inserter_source_payload_data <= 8'd0;
1244 builder_liteethmaccrc32inserter_next_state <= 2'd0;
1245 main_crc32_inserter_source_payload_last_be <= 1'd0;
1246 main_crc32_inserter_source_payload_error <= 1'd0;
1247 main_crc32_inserter_data0 <= 8'd0;
1248 main_crc32_inserter_is_ongoing0 <= 1'd0;
1249 main_crc32_inserter_sink_ready <= 1'd0;
1250 main_crc32_inserter_is_ongoing1 <= 1'd0;
1251 main_crc32_inserter_ce <= 1'd0;
1252 main_crc32_inserter_reset <= 1'd0;
1253 builder_liteethmaccrc32inserter_next_state <= builder_liteethmaccrc32inserter_state;
1254 case (builder_liteethmaccrc32inserter_state)
1255 1'd1: begin
1256 main_crc32_inserter_ce <= (main_crc32_inserter_sink_valid & main_crc32_inserter_source_ready);
1257 main_crc32_inserter_data0 <= main_crc32_inserter_sink_payload_data;
1258 main_crc32_inserter_source_valid <= main_crc32_inserter_sink_valid;
1259 main_crc32_inserter_sink_ready <= main_crc32_inserter_source_ready;
1260 main_crc32_inserter_source_first <= main_crc32_inserter_sink_first;
1261 main_crc32_inserter_source_last <= main_crc32_inserter_sink_last;
1262 main_crc32_inserter_source_payload_data <= main_crc32_inserter_sink_payload_data;
1263 main_crc32_inserter_source_payload_last_be <= main_crc32_inserter_sink_payload_last_be;
1264 main_crc32_inserter_source_payload_error <= main_crc32_inserter_sink_payload_error;
1265 main_crc32_inserter_source_last <= 1'd0;
1266 if (((main_crc32_inserter_sink_valid & main_crc32_inserter_sink_last) & main_crc32_inserter_source_ready)) begin
1267 builder_liteethmaccrc32inserter_next_state <= 2'd2;
1268 end
1269 end
1270 2'd2: begin
1271 main_crc32_inserter_source_valid <= 1'd1;
1272 case (main_crc32_inserter_cnt)
1273 1'd0: begin
1274 main_crc32_inserter_source_payload_data <= main_crc32_inserter_value[31:24];
1275 end
1276 1'd1: begin
1277 main_crc32_inserter_source_payload_data <= main_crc32_inserter_value[23:16];
1278 end
1279 2'd2: begin
1280 main_crc32_inserter_source_payload_data <= main_crc32_inserter_value[15:8];
1281 end
1282 default: begin
1283 main_crc32_inserter_source_payload_data <= main_crc32_inserter_value[7:0];
1284 end
1285 endcase
1286 if (main_crc32_inserter_cnt_done) begin
1287 main_crc32_inserter_source_last <= 1'd1;
1288 if (main_crc32_inserter_source_ready) begin
1289 builder_liteethmaccrc32inserter_next_state <= 1'd0;
1290 end
1291 end
1292 main_crc32_inserter_is_ongoing1 <= 1'd1;
1293 end
1294 default: begin
1295 main_crc32_inserter_reset <= 1'd1;
1296 main_crc32_inserter_sink_ready <= 1'd1;
1297 if (main_crc32_inserter_sink_valid) begin
1298 main_crc32_inserter_sink_ready <= 1'd0;
1299 builder_liteethmaccrc32inserter_next_state <= 1'd1;
1300 end
1301 main_crc32_inserter_is_ongoing0 <= 1'd1;
1302 end
1303 endcase
1304 end
1305 assign main_crc32_checker_fifo_full = (main_crc32_checker_syncfifo_level == 3'd4);
1306 assign main_crc32_checker_fifo_in = (main_crc32_checker_sink_sink_valid & ((~main_crc32_checker_fifo_full) | main_crc32_checker_fifo_out));
1307 assign main_crc32_checker_fifo_out = (main_crc32_checker_source_source_valid & main_crc32_checker_source_source_ready);
1308 assign main_crc32_checker_syncfifo_sink_first = main_crc32_checker_sink_sink_first;
1309 assign main_crc32_checker_syncfifo_sink_last = main_crc32_checker_sink_sink_last;
1310 assign main_crc32_checker_syncfifo_sink_payload_data = main_crc32_checker_sink_sink_payload_data;
1311 assign main_crc32_checker_syncfifo_sink_payload_last_be = main_crc32_checker_sink_sink_payload_last_be;
1312 assign main_crc32_checker_syncfifo_sink_payload_error = main_crc32_checker_sink_sink_payload_error;
1313 always @(*) begin
1314 main_crc32_checker_syncfifo_sink_valid <= 1'd0;
1315 main_crc32_checker_syncfifo_sink_valid <= main_crc32_checker_sink_sink_valid;
1316 main_crc32_checker_syncfifo_sink_valid <= main_crc32_checker_fifo_in;
1317 end
1318 always @(*) begin
1319 main_crc32_checker_sink_sink_ready <= 1'd0;
1320 main_crc32_checker_sink_sink_ready <= main_crc32_checker_syncfifo_sink_ready;
1321 main_crc32_checker_sink_sink_ready <= main_crc32_checker_fifo_in;
1322 end
1323 assign main_crc32_checker_source_source_valid = (main_crc32_checker_sink_sink_valid & main_crc32_checker_fifo_full);
1324 assign main_crc32_checker_source_source_last = main_crc32_checker_sink_sink_last;
1325 assign main_crc32_checker_syncfifo_source_ready = main_crc32_checker_fifo_out;
1326 assign main_crc32_checker_source_source_payload_data = main_crc32_checker_syncfifo_source_payload_data;
1327 assign main_crc32_checker_source_source_payload_last_be = main_crc32_checker_syncfifo_source_payload_last_be;
1328 always @(*) begin
1329 main_crc32_checker_source_source_payload_error <= 1'd0;
1330 main_crc32_checker_source_source_payload_error <= main_crc32_checker_syncfifo_source_payload_error;
1331 main_crc32_checker_source_source_payload_error <= (main_crc32_checker_sink_sink_payload_error | main_crc32_checker_crc_error);
1332 end
1333 assign main_crc32_checker_error = ((main_crc32_checker_source_source_valid & main_crc32_checker_source_source_last) & main_crc32_checker_crc_error);
1334 assign main_crc32_checker_crc_data0 = main_crc32_checker_sink_sink_payload_data;
1335 assign main_crc32_checker_crc_data1 = main_crc32_checker_crc_data0;
1336 assign main_crc32_checker_crc_last = main_crc32_checker_crc_reg;
1337 assign main_crc32_checker_crc_value = (~{main_crc32_checker_crc_reg[0], main_crc32_checker_crc_reg[1], main_crc32_checker_crc_reg[2], main_crc32_checker_crc_reg[3], main_crc32_checker_crc_reg[4], main_crc32_checker_crc_reg[5], main_crc32_checker_crc_reg[6], main_crc32_checker_crc_reg[7], main_crc32_checker_crc_reg[8], main_crc32_checker_crc_reg[9], main_crc32_checker_crc_reg[10], main_crc32_checker_crc_reg[11], main_crc32_checker_crc_reg[12], main_crc32_checker_crc_reg[13], main_crc32_checker_crc_reg[14], main_crc32_checker_crc_reg[15], main_crc32_checker_crc_reg[16], main_crc32_checker_crc_reg[17], main_crc32_checker_crc_reg[18], main_crc32_checker_crc_reg[19], main_crc32_checker_crc_reg[20], main_crc32_checker_crc_reg[21], main_crc32_checker_crc_reg[22], main_crc32_checker_crc_reg[23], main_crc32_checker_crc_reg[24], main_crc32_checker_crc_reg[25], main_crc32_checker_crc_reg[26], main_crc32_checker_crc_reg[27], main_crc32_checker_crc_reg[28], main_crc32_checker_crc_reg[29], main_crc32_checker_crc_reg[30], main_crc32_checker_crc_reg[31]});
1338 assign main_crc32_checker_crc_error = (main_crc32_checker_crc_next != 32'd3338984827);
1339 always @(*) begin
1340 main_crc32_checker_crc_next <= 32'd0;
1341 main_crc32_checker_crc_next[0] <= (((main_crc32_checker_crc_last[24] ^ main_crc32_checker_crc_last[30]) ^ main_crc32_checker_crc_data1[1]) ^ main_crc32_checker_crc_data1[7]);
1342 main_crc32_checker_crc_next[1] <= (((((((main_crc32_checker_crc_last[25] ^ main_crc32_checker_crc_last[31]) ^ main_crc32_checker_crc_data1[0]) ^ main_crc32_checker_crc_data1[6]) ^ main_crc32_checker_crc_last[24]) ^ main_crc32_checker_crc_last[30]) ^ main_crc32_checker_crc_data1[1]) ^ main_crc32_checker_crc_data1[7]);
1343 main_crc32_checker_crc_next[2] <= (((((((((main_crc32_checker_crc_last[26] ^ main_crc32_checker_crc_data1[5]) ^ main_crc32_checker_crc_last[25]) ^ main_crc32_checker_crc_last[31]) ^ main_crc32_checker_crc_data1[0]) ^ main_crc32_checker_crc_data1[6]) ^ main_crc32_checker_crc_last[24]) ^ main_crc32_checker_crc_last[30]) ^ main_crc32_checker_crc_data1[1]) ^ main_crc32_checker_crc_data1[7]);
1344 main_crc32_checker_crc_next[3] <= (((((((main_crc32_checker_crc_last[27] ^ main_crc32_checker_crc_data1[4]) ^ main_crc32_checker_crc_last[26]) ^ main_crc32_checker_crc_data1[5]) ^ main_crc32_checker_crc_last[25]) ^ main_crc32_checker_crc_last[31]) ^ main_crc32_checker_crc_data1[0]) ^ main_crc32_checker_crc_data1[6]);
1345 main_crc32_checker_crc_next[4] <= (((((((((main_crc32_checker_crc_last[28] ^ main_crc32_checker_crc_data1[3]) ^ main_crc32_checker_crc_last[27]) ^ main_crc32_checker_crc_data1[4]) ^ main_crc32_checker_crc_last[26]) ^ main_crc32_checker_crc_data1[5]) ^ main_crc32_checker_crc_last[24]) ^ main_crc32_checker_crc_last[30]) ^ main_crc32_checker_crc_data1[1]) ^ main_crc32_checker_crc_data1[7]);
1346 main_crc32_checker_crc_next[5] <= (((((((((((((main_crc32_checker_crc_last[29] ^ main_crc32_checker_crc_data1[2]) ^ main_crc32_checker_crc_last[28]) ^ main_crc32_checker_crc_data1[3]) ^ main_crc32_checker_crc_last[27]) ^ main_crc32_checker_crc_data1[4]) ^ main_crc32_checker_crc_last[25]) ^ main_crc32_checker_crc_last[31]) ^ main_crc32_checker_crc_data1[0]) ^ main_crc32_checker_crc_data1[6]) ^ main_crc32_checker_crc_last[24]) ^ main_crc32_checker_crc_last[30]) ^ main_crc32_checker_crc_data1[1]) ^ main_crc32_checker_crc_data1[7]);
1347 main_crc32_checker_crc_next[6] <= (((((((((((main_crc32_checker_crc_last[30] ^ main_crc32_checker_crc_data1[1]) ^ main_crc32_checker_crc_last[29]) ^ main_crc32_checker_crc_data1[2]) ^ main_crc32_checker_crc_last[28]) ^ main_crc32_checker_crc_data1[3]) ^ main_crc32_checker_crc_last[26]) ^ main_crc32_checker_crc_data1[5]) ^ main_crc32_checker_crc_last[25]) ^ main_crc32_checker_crc_last[31]) ^ main_crc32_checker_crc_data1[0]) ^ main_crc32_checker_crc_data1[6]);
1348 main_crc32_checker_crc_next[7] <= (((((((((main_crc32_checker_crc_last[31] ^ main_crc32_checker_crc_data1[0]) ^ main_crc32_checker_crc_last[29]) ^ main_crc32_checker_crc_data1[2]) ^ main_crc32_checker_crc_last[27]) ^ main_crc32_checker_crc_data1[4]) ^ main_crc32_checker_crc_last[26]) ^ main_crc32_checker_crc_data1[5]) ^ main_crc32_checker_crc_last[24]) ^ main_crc32_checker_crc_data1[7]);
1349 main_crc32_checker_crc_next[8] <= ((((((((main_crc32_checker_crc_last[0] ^ main_crc32_checker_crc_last[28]) ^ main_crc32_checker_crc_data1[3]) ^ main_crc32_checker_crc_last[27]) ^ main_crc32_checker_crc_data1[4]) ^ main_crc32_checker_crc_last[25]) ^ main_crc32_checker_crc_data1[6]) ^ main_crc32_checker_crc_last[24]) ^ main_crc32_checker_crc_data1[7]);
1350 main_crc32_checker_crc_next[9] <= ((((((((main_crc32_checker_crc_last[1] ^ main_crc32_checker_crc_last[29]) ^ main_crc32_checker_crc_data1[2]) ^ main_crc32_checker_crc_last[28]) ^ main_crc32_checker_crc_data1[3]) ^ main_crc32_checker_crc_last[26]) ^ main_crc32_checker_crc_data1[5]) ^ main_crc32_checker_crc_last[25]) ^ main_crc32_checker_crc_data1[6]);
1351 main_crc32_checker_crc_next[10] <= ((((((((main_crc32_checker_crc_last[2] ^ main_crc32_checker_crc_last[29]) ^ main_crc32_checker_crc_data1[2]) ^ main_crc32_checker_crc_last[27]) ^ main_crc32_checker_crc_data1[4]) ^ main_crc32_checker_crc_last[26]) ^ main_crc32_checker_crc_data1[5]) ^ main_crc32_checker_crc_last[24]) ^ main_crc32_checker_crc_data1[7]);
1352 main_crc32_checker_crc_next[11] <= ((((((((main_crc32_checker_crc_last[3] ^ main_crc32_checker_crc_last[28]) ^ main_crc32_checker_crc_data1[3]) ^ main_crc32_checker_crc_last[27]) ^ main_crc32_checker_crc_data1[4]) ^ main_crc32_checker_crc_last[25]) ^ main_crc32_checker_crc_data1[6]) ^ main_crc32_checker_crc_last[24]) ^ main_crc32_checker_crc_data1[7]);
1353 main_crc32_checker_crc_next[12] <= ((((((((((((main_crc32_checker_crc_last[4] ^ main_crc32_checker_crc_last[29]) ^ main_crc32_checker_crc_data1[2]) ^ main_crc32_checker_crc_last[28]) ^ main_crc32_checker_crc_data1[3]) ^ main_crc32_checker_crc_last[26]) ^ main_crc32_checker_crc_data1[5]) ^ main_crc32_checker_crc_last[25]) ^ main_crc32_checker_crc_data1[6]) ^ main_crc32_checker_crc_last[24]) ^ main_crc32_checker_crc_last[30]) ^ main_crc32_checker_crc_data1[1]) ^ main_crc32_checker_crc_data1[7]);
1354 main_crc32_checker_crc_next[13] <= ((((((((((((main_crc32_checker_crc_last[5] ^ main_crc32_checker_crc_last[30]) ^ main_crc32_checker_crc_data1[1]) ^ main_crc32_checker_crc_last[29]) ^ main_crc32_checker_crc_data1[2]) ^ main_crc32_checker_crc_last[27]) ^ main_crc32_checker_crc_data1[4]) ^ main_crc32_checker_crc_last[26]) ^ main_crc32_checker_crc_data1[5]) ^ main_crc32_checker_crc_last[25]) ^ main_crc32_checker_crc_last[31]) ^ main_crc32_checker_crc_data1[0]) ^ main_crc32_checker_crc_data1[6]);
1355 main_crc32_checker_crc_next[14] <= ((((((((((main_crc32_checker_crc_last[6] ^ main_crc32_checker_crc_last[31]) ^ main_crc32_checker_crc_data1[0]) ^ main_crc32_checker_crc_last[30]) ^ main_crc32_checker_crc_data1[1]) ^ main_crc32_checker_crc_last[28]) ^ main_crc32_checker_crc_data1[3]) ^ main_crc32_checker_crc_last[27]) ^ main_crc32_checker_crc_data1[4]) ^ main_crc32_checker_crc_last[26]) ^ main_crc32_checker_crc_data1[5]);
1356 main_crc32_checker_crc_next[15] <= ((((((((main_crc32_checker_crc_last[7] ^ main_crc32_checker_crc_last[31]) ^ main_crc32_checker_crc_data1[0]) ^ main_crc32_checker_crc_last[29]) ^ main_crc32_checker_crc_data1[2]) ^ main_crc32_checker_crc_last[28]) ^ main_crc32_checker_crc_data1[3]) ^ main_crc32_checker_crc_last[27]) ^ main_crc32_checker_crc_data1[4]);
1357 main_crc32_checker_crc_next[16] <= ((((((main_crc32_checker_crc_last[8] ^ main_crc32_checker_crc_last[29]) ^ main_crc32_checker_crc_data1[2]) ^ main_crc32_checker_crc_last[28]) ^ main_crc32_checker_crc_data1[3]) ^ main_crc32_checker_crc_last[24]) ^ main_crc32_checker_crc_data1[7]);
1358 main_crc32_checker_crc_next[17] <= ((((((main_crc32_checker_crc_last[9] ^ main_crc32_checker_crc_last[30]) ^ main_crc32_checker_crc_data1[1]) ^ main_crc32_checker_crc_last[29]) ^ main_crc32_checker_crc_data1[2]) ^ main_crc32_checker_crc_last[25]) ^ main_crc32_checker_crc_data1[6]);
1359 main_crc32_checker_crc_next[18] <= ((((((main_crc32_checker_crc_last[10] ^ main_crc32_checker_crc_last[31]) ^ main_crc32_checker_crc_data1[0]) ^ main_crc32_checker_crc_last[30]) ^ main_crc32_checker_crc_data1[1]) ^ main_crc32_checker_crc_last[26]) ^ main_crc32_checker_crc_data1[5]);
1360 main_crc32_checker_crc_next[19] <= ((((main_crc32_checker_crc_last[11] ^ main_crc32_checker_crc_last[31]) ^ main_crc32_checker_crc_data1[0]) ^ main_crc32_checker_crc_last[27]) ^ main_crc32_checker_crc_data1[4]);
1361 main_crc32_checker_crc_next[20] <= ((main_crc32_checker_crc_last[12] ^ main_crc32_checker_crc_last[28]) ^ main_crc32_checker_crc_data1[3]);
1362 main_crc32_checker_crc_next[21] <= ((main_crc32_checker_crc_last[13] ^ main_crc32_checker_crc_last[29]) ^ main_crc32_checker_crc_data1[2]);
1363 main_crc32_checker_crc_next[22] <= ((main_crc32_checker_crc_last[14] ^ main_crc32_checker_crc_last[24]) ^ main_crc32_checker_crc_data1[7]);
1364 main_crc32_checker_crc_next[23] <= ((((((main_crc32_checker_crc_last[15] ^ main_crc32_checker_crc_last[25]) ^ main_crc32_checker_crc_data1[6]) ^ main_crc32_checker_crc_last[24]) ^ main_crc32_checker_crc_last[30]) ^ main_crc32_checker_crc_data1[1]) ^ main_crc32_checker_crc_data1[7]);
1365 main_crc32_checker_crc_next[24] <= ((((((main_crc32_checker_crc_last[16] ^ main_crc32_checker_crc_last[26]) ^ main_crc32_checker_crc_data1[5]) ^ main_crc32_checker_crc_last[25]) ^ main_crc32_checker_crc_last[31]) ^ main_crc32_checker_crc_data1[0]) ^ main_crc32_checker_crc_data1[6]);
1366 main_crc32_checker_crc_next[25] <= ((((main_crc32_checker_crc_last[17] ^ main_crc32_checker_crc_last[27]) ^ main_crc32_checker_crc_data1[4]) ^ main_crc32_checker_crc_last[26]) ^ main_crc32_checker_crc_data1[5]);
1367 main_crc32_checker_crc_next[26] <= ((((((((main_crc32_checker_crc_last[18] ^ main_crc32_checker_crc_last[28]) ^ main_crc32_checker_crc_data1[3]) ^ main_crc32_checker_crc_last[27]) ^ main_crc32_checker_crc_data1[4]) ^ main_crc32_checker_crc_last[24]) ^ main_crc32_checker_crc_last[30]) ^ main_crc32_checker_crc_data1[1]) ^ main_crc32_checker_crc_data1[7]);
1368 main_crc32_checker_crc_next[27] <= ((((((((main_crc32_checker_crc_last[19] ^ main_crc32_checker_crc_last[29]) ^ main_crc32_checker_crc_data1[2]) ^ main_crc32_checker_crc_last[28]) ^ main_crc32_checker_crc_data1[3]) ^ main_crc32_checker_crc_last[25]) ^ main_crc32_checker_crc_last[31]) ^ main_crc32_checker_crc_data1[0]) ^ main_crc32_checker_crc_data1[6]);
1369 main_crc32_checker_crc_next[28] <= ((((((main_crc32_checker_crc_last[20] ^ main_crc32_checker_crc_last[30]) ^ main_crc32_checker_crc_data1[1]) ^ main_crc32_checker_crc_last[29]) ^ main_crc32_checker_crc_data1[2]) ^ main_crc32_checker_crc_last[26]) ^ main_crc32_checker_crc_data1[5]);
1370 main_crc32_checker_crc_next[29] <= ((((((main_crc32_checker_crc_last[21] ^ main_crc32_checker_crc_last[31]) ^ main_crc32_checker_crc_data1[0]) ^ main_crc32_checker_crc_last[30]) ^ main_crc32_checker_crc_data1[1]) ^ main_crc32_checker_crc_last[27]) ^ main_crc32_checker_crc_data1[4]);
1371 main_crc32_checker_crc_next[30] <= ((((main_crc32_checker_crc_last[22] ^ main_crc32_checker_crc_last[31]) ^ main_crc32_checker_crc_data1[0]) ^ main_crc32_checker_crc_last[28]) ^ main_crc32_checker_crc_data1[3]);
1372 main_crc32_checker_crc_next[31] <= ((main_crc32_checker_crc_last[23] ^ main_crc32_checker_crc_last[29]) ^ main_crc32_checker_crc_data1[2]);
1373 end
1374 assign main_crc32_checker_syncfifo_syncfifo_din = {main_crc32_checker_syncfifo_fifo_in_last, main_crc32_checker_syncfifo_fifo_in_first, main_crc32_checker_syncfifo_fifo_in_payload_error, main_crc32_checker_syncfifo_fifo_in_payload_last_be, main_crc32_checker_syncfifo_fifo_in_payload_data};
1375 assign {main_crc32_checker_syncfifo_fifo_out_last, main_crc32_checker_syncfifo_fifo_out_first, main_crc32_checker_syncfifo_fifo_out_payload_error, main_crc32_checker_syncfifo_fifo_out_payload_last_be, main_crc32_checker_syncfifo_fifo_out_payload_data} = main_crc32_checker_syncfifo_syncfifo_dout;
1376 assign main_crc32_checker_syncfifo_sink_ready = main_crc32_checker_syncfifo_syncfifo_writable;
1377 assign main_crc32_checker_syncfifo_syncfifo_we = main_crc32_checker_syncfifo_sink_valid;
1378 assign main_crc32_checker_syncfifo_fifo_in_first = main_crc32_checker_syncfifo_sink_first;
1379 assign main_crc32_checker_syncfifo_fifo_in_last = main_crc32_checker_syncfifo_sink_last;
1380 assign main_crc32_checker_syncfifo_fifo_in_payload_data = main_crc32_checker_syncfifo_sink_payload_data;
1381 assign main_crc32_checker_syncfifo_fifo_in_payload_last_be = main_crc32_checker_syncfifo_sink_payload_last_be;
1382 assign main_crc32_checker_syncfifo_fifo_in_payload_error = main_crc32_checker_syncfifo_sink_payload_error;
1383 assign main_crc32_checker_syncfifo_source_valid = main_crc32_checker_syncfifo_syncfifo_readable;
1384 assign main_crc32_checker_syncfifo_source_first = main_crc32_checker_syncfifo_fifo_out_first;
1385 assign main_crc32_checker_syncfifo_source_last = main_crc32_checker_syncfifo_fifo_out_last;
1386 assign main_crc32_checker_syncfifo_source_payload_data = main_crc32_checker_syncfifo_fifo_out_payload_data;
1387 assign main_crc32_checker_syncfifo_source_payload_last_be = main_crc32_checker_syncfifo_fifo_out_payload_last_be;
1388 assign main_crc32_checker_syncfifo_source_payload_error = main_crc32_checker_syncfifo_fifo_out_payload_error;
1389 assign main_crc32_checker_syncfifo_syncfifo_re = main_crc32_checker_syncfifo_source_ready;
1390 always @(*) begin
1391 main_crc32_checker_syncfifo_wrport_adr <= 3'd0;
1392 if (main_crc32_checker_syncfifo_replace) begin
1393 main_crc32_checker_syncfifo_wrport_adr <= (main_crc32_checker_syncfifo_produce - 1'd1);
1394 end else begin
1395 main_crc32_checker_syncfifo_wrport_adr <= main_crc32_checker_syncfifo_produce;
1396 end
1397 end
1398 assign main_crc32_checker_syncfifo_wrport_dat_w = main_crc32_checker_syncfifo_syncfifo_din;
1399 assign main_crc32_checker_syncfifo_wrport_we = (main_crc32_checker_syncfifo_syncfifo_we & (main_crc32_checker_syncfifo_syncfifo_writable | main_crc32_checker_syncfifo_replace));
1400 assign main_crc32_checker_syncfifo_do_read = (main_crc32_checker_syncfifo_syncfifo_readable & main_crc32_checker_syncfifo_syncfifo_re);
1401 assign main_crc32_checker_syncfifo_rdport_adr = main_crc32_checker_syncfifo_consume;
1402 assign main_crc32_checker_syncfifo_syncfifo_dout = main_crc32_checker_syncfifo_rdport_dat_r;
1403 assign main_crc32_checker_syncfifo_syncfifo_writable = (main_crc32_checker_syncfifo_level != 3'd5);
1404 assign main_crc32_checker_syncfifo_syncfifo_readable = (main_crc32_checker_syncfifo_level != 1'd0);
1405 always @(*) begin
1406 main_crc32_checker_fifo_reset <= 1'd0;
1407 main_crc32_checker_crc_ce <= 1'd0;
1408 main_crc32_checker_crc_reset <= 1'd0;
1409 builder_liteethmaccrc32checker_next_state <= 2'd0;
1410 builder_liteethmaccrc32checker_next_state <= builder_liteethmaccrc32checker_state;
1411 case (builder_liteethmaccrc32checker_state)
1412 1'd1: begin
1413 if ((main_crc32_checker_sink_sink_valid & main_crc32_checker_sink_sink_ready)) begin
1414 main_crc32_checker_crc_ce <= 1'd1;
1415 builder_liteethmaccrc32checker_next_state <= 2'd2;
1416 end
1417 end
1418 2'd2: begin
1419 if ((main_crc32_checker_sink_sink_valid & main_crc32_checker_sink_sink_ready)) begin
1420 main_crc32_checker_crc_ce <= 1'd1;
1421 if (main_crc32_checker_sink_sink_last) begin
1422 builder_liteethmaccrc32checker_next_state <= 1'd0;
1423 end
1424 end
1425 end
1426 default: begin
1427 main_crc32_checker_crc_reset <= 1'd1;
1428 main_crc32_checker_fifo_reset <= 1'd1;
1429 builder_liteethmaccrc32checker_next_state <= 1'd1;
1430 end
1431 endcase
1432 end
1433 assign main_ps_preamble_error_o = (main_ps_preamble_error_toggle_o ^ main_ps_preamble_error_toggle_o_r);
1434 assign main_ps_crc_error_o = (main_ps_crc_error_toggle_o ^ main_ps_crc_error_toggle_o_r);
1435 assign main_padding_inserter_counter_done = (main_padding_inserter_counter >= 6'd59);
1436 always @(*) begin
1437 main_padding_inserter_source_valid <= 1'd0;
1438 main_padding_inserter_source_first <= 1'd0;
1439 main_padding_inserter_source_last <= 1'd0;
1440 main_padding_inserter_source_payload_data <= 8'd0;
1441 builder_liteethmacpaddinginserter_next_state <= 1'd0;
1442 main_padding_inserter_source_payload_last_be <= 1'd0;
1443 main_padding_inserter_source_payload_error <= 1'd0;
1444 main_padding_inserter_counter_reset <= 1'd0;
1445 main_padding_inserter_sink_ready <= 1'd0;
1446 main_padding_inserter_counter_ce <= 1'd0;
1447 builder_liteethmacpaddinginserter_next_state <= builder_liteethmacpaddinginserter_state;
1448 case (builder_liteethmacpaddinginserter_state)
1449 1'd1: begin
1450 main_padding_inserter_source_valid <= 1'd1;
1451 main_padding_inserter_source_last <= main_padding_inserter_counter_done;
1452 main_padding_inserter_source_payload_data <= 1'd0;
1453 if ((main_padding_inserter_source_valid & main_padding_inserter_source_ready)) begin
1454 main_padding_inserter_counter_ce <= 1'd1;
1455 if (main_padding_inserter_counter_done) begin
1456 main_padding_inserter_counter_reset <= 1'd1;
1457 builder_liteethmacpaddinginserter_next_state <= 1'd0;
1458 end
1459 end
1460 end
1461 default: begin
1462 main_padding_inserter_source_valid <= main_padding_inserter_sink_valid;
1463 main_padding_inserter_sink_ready <= main_padding_inserter_source_ready;
1464 main_padding_inserter_source_first <= main_padding_inserter_sink_first;
1465 main_padding_inserter_source_last <= main_padding_inserter_sink_last;
1466 main_padding_inserter_source_payload_data <= main_padding_inserter_sink_payload_data;
1467 main_padding_inserter_source_payload_last_be <= main_padding_inserter_sink_payload_last_be;
1468 main_padding_inserter_source_payload_error <= main_padding_inserter_sink_payload_error;
1469 if ((main_padding_inserter_source_valid & main_padding_inserter_source_ready)) begin
1470 main_padding_inserter_counter_ce <= 1'd1;
1471 if (main_padding_inserter_sink_last) begin
1472 if ((~main_padding_inserter_counter_done)) begin
1473 main_padding_inserter_source_last <= 1'd0;
1474 builder_liteethmacpaddinginserter_next_state <= 1'd1;
1475 end else begin
1476 main_padding_inserter_counter_reset <= 1'd1;
1477 end
1478 end
1479 end
1480 end
1481 endcase
1482 end
1483 assign main_padding_checker_source_valid = main_padding_checker_sink_valid;
1484 assign main_padding_checker_sink_ready = main_padding_checker_source_ready;
1485 assign main_padding_checker_source_first = main_padding_checker_sink_first;
1486 assign main_padding_checker_source_last = main_padding_checker_sink_last;
1487 assign main_padding_checker_source_payload_data = main_padding_checker_sink_payload_data;
1488 assign main_padding_checker_source_payload_last_be = main_padding_checker_sink_payload_last_be;
1489 assign main_padding_checker_source_payload_error = main_padding_checker_sink_payload_error;
1490 assign main_tx_last_be_source_valid = (main_tx_last_be_sink_valid & main_tx_last_be_ongoing);
1491 assign main_tx_last_be_source_last = main_tx_last_be_sink_payload_last_be;
1492 assign main_tx_last_be_source_payload_data = main_tx_last_be_sink_payload_data;
1493 assign main_tx_last_be_sink_ready = main_tx_last_be_source_ready;
1494 assign main_rx_last_be_source_valid = main_rx_last_be_sink_valid;
1495 assign main_rx_last_be_sink_ready = main_rx_last_be_source_ready;
1496 assign main_rx_last_be_source_first = main_rx_last_be_sink_first;
1497 assign main_rx_last_be_source_last = main_rx_last_be_sink_last;
1498 assign main_rx_last_be_source_payload_data = main_rx_last_be_sink_payload_data;
1499 assign main_rx_last_be_source_payload_error = main_rx_last_be_sink_payload_error;
1500 always @(*) begin
1501 main_rx_last_be_source_payload_last_be <= 1'd0;
1502 main_rx_last_be_source_payload_last_be <= main_rx_last_be_sink_payload_last_be;
1503 main_rx_last_be_source_payload_last_be <= main_rx_last_be_sink_last;
1504 end
1505 assign main_tx_converter_converter_sink_valid = main_tx_converter_sink_valid;
1506 assign main_tx_converter_converter_sink_first = main_tx_converter_sink_first;
1507 assign main_tx_converter_converter_sink_last = main_tx_converter_sink_last;
1508 assign main_tx_converter_sink_ready = main_tx_converter_converter_sink_ready;
1509 always @(*) begin
1510 main_tx_converter_converter_sink_payload_data <= 40'd0;
1511 main_tx_converter_converter_sink_payload_data[7:0] <= main_tx_converter_sink_payload_data[7:0];
1512 main_tx_converter_converter_sink_payload_data[8] <= main_tx_converter_sink_payload_last_be[0];
1513 main_tx_converter_converter_sink_payload_data[9] <= main_tx_converter_sink_payload_error[0];
1514 main_tx_converter_converter_sink_payload_data[17:10] <= main_tx_converter_sink_payload_data[15:8];
1515 main_tx_converter_converter_sink_payload_data[18] <= main_tx_converter_sink_payload_last_be[1];
1516 main_tx_converter_converter_sink_payload_data[19] <= main_tx_converter_sink_payload_error[1];
1517 main_tx_converter_converter_sink_payload_data[27:20] <= main_tx_converter_sink_payload_data[23:16];
1518 main_tx_converter_converter_sink_payload_data[28] <= main_tx_converter_sink_payload_last_be[2];
1519 main_tx_converter_converter_sink_payload_data[29] <= main_tx_converter_sink_payload_error[2];
1520 main_tx_converter_converter_sink_payload_data[37:30] <= main_tx_converter_sink_payload_data[31:24];
1521 main_tx_converter_converter_sink_payload_data[38] <= main_tx_converter_sink_payload_last_be[3];
1522 main_tx_converter_converter_sink_payload_data[39] <= main_tx_converter_sink_payload_error[3];
1523 end
1524 assign main_tx_converter_source_valid = main_tx_converter_source_source_valid;
1525 assign main_tx_converter_source_first = main_tx_converter_source_source_first;
1526 assign main_tx_converter_source_last = main_tx_converter_source_source_last;
1527 assign main_tx_converter_source_source_ready = main_tx_converter_source_ready;
1528 assign {main_tx_converter_source_payload_error, main_tx_converter_source_payload_last_be, main_tx_converter_source_payload_data} = main_tx_converter_source_source_payload_data;
1529 assign main_tx_converter_source_source_valid = main_tx_converter_converter_source_valid;
1530 assign main_tx_converter_converter_source_ready = main_tx_converter_source_source_ready;
1531 assign main_tx_converter_source_source_first = main_tx_converter_converter_source_first;
1532 assign main_tx_converter_source_source_last = main_tx_converter_converter_source_last;
1533 assign main_tx_converter_source_source_payload_data = main_tx_converter_converter_source_payload_data;
1534 assign main_tx_converter_converter_first = (main_tx_converter_converter_mux == 1'd0);
1535 assign main_tx_converter_converter_last = (main_tx_converter_converter_mux == 2'd3);
1536 assign main_tx_converter_converter_source_valid = main_tx_converter_converter_sink_valid;
1537 assign main_tx_converter_converter_source_first = (main_tx_converter_converter_sink_first & main_tx_converter_converter_first);
1538 assign main_tx_converter_converter_source_last = (main_tx_converter_converter_sink_last & main_tx_converter_converter_last);
1539 assign main_tx_converter_converter_sink_ready = (main_tx_converter_converter_last & main_tx_converter_converter_source_ready);
1540 always @(*) begin
1541 main_tx_converter_converter_source_payload_data <= 10'd0;
1542 case (main_tx_converter_converter_mux)
1543 1'd0: begin
1544 main_tx_converter_converter_source_payload_data <= main_tx_converter_converter_sink_payload_data[9:0];
1545 end
1546 1'd1: begin
1547 main_tx_converter_converter_source_payload_data <= main_tx_converter_converter_sink_payload_data[19:10];
1548 end
1549 2'd2: begin
1550 main_tx_converter_converter_source_payload_data <= main_tx_converter_converter_sink_payload_data[29:20];
1551 end
1552 default: begin
1553 main_tx_converter_converter_source_payload_data <= main_tx_converter_converter_sink_payload_data[39:30];
1554 end
1555 endcase
1556 end
1557 assign main_tx_converter_converter_source_payload_valid_token_count = main_tx_converter_converter_last;
1558 assign main_rx_converter_converter_sink_valid = main_rx_converter_sink_valid;
1559 assign main_rx_converter_converter_sink_first = main_rx_converter_sink_first;
1560 assign main_rx_converter_converter_sink_last = main_rx_converter_sink_last;
1561 assign main_rx_converter_sink_ready = main_rx_converter_converter_sink_ready;
1562 assign main_rx_converter_converter_sink_payload_data = {main_rx_converter_sink_payload_error, main_rx_converter_sink_payload_last_be, main_rx_converter_sink_payload_data};
1563 assign main_rx_converter_source_valid = main_rx_converter_source_source_valid;
1564 assign main_rx_converter_source_first = main_rx_converter_source_source_first;
1565 assign main_rx_converter_source_last = main_rx_converter_source_source_last;
1566 assign main_rx_converter_source_source_ready = main_rx_converter_source_ready;
1567 always @(*) begin
1568 main_rx_converter_source_payload_data <= 32'd0;
1569 main_rx_converter_source_payload_data[7:0] <= main_rx_converter_source_source_payload_data[7:0];
1570 main_rx_converter_source_payload_data[15:8] <= main_rx_converter_source_source_payload_data[17:10];
1571 main_rx_converter_source_payload_data[23:16] <= main_rx_converter_source_source_payload_data[27:20];
1572 main_rx_converter_source_payload_data[31:24] <= main_rx_converter_source_source_payload_data[37:30];
1573 end
1574 always @(*) begin
1575 main_rx_converter_source_payload_last_be <= 4'd0;
1576 main_rx_converter_source_payload_last_be[0] <= main_rx_converter_source_source_payload_data[8];
1577 main_rx_converter_source_payload_last_be[1] <= main_rx_converter_source_source_payload_data[18];
1578 main_rx_converter_source_payload_last_be[2] <= main_rx_converter_source_source_payload_data[28];
1579 main_rx_converter_source_payload_last_be[3] <= main_rx_converter_source_source_payload_data[38];
1580 end
1581 always @(*) begin
1582 main_rx_converter_source_payload_error <= 4'd0;
1583 main_rx_converter_source_payload_error[0] <= main_rx_converter_source_source_payload_data[9];
1584 main_rx_converter_source_payload_error[1] <= main_rx_converter_source_source_payload_data[19];
1585 main_rx_converter_source_payload_error[2] <= main_rx_converter_source_source_payload_data[29];
1586 main_rx_converter_source_payload_error[3] <= main_rx_converter_source_source_payload_data[39];
1587 end
1588 assign main_rx_converter_source_source_valid = main_rx_converter_converter_source_valid;
1589 assign main_rx_converter_converter_source_ready = main_rx_converter_source_source_ready;
1590 assign main_rx_converter_source_source_first = main_rx_converter_converter_source_first;
1591 assign main_rx_converter_source_source_last = main_rx_converter_converter_source_last;
1592 assign main_rx_converter_source_source_payload_data = main_rx_converter_converter_source_payload_data;
1593 assign main_rx_converter_converter_sink_ready = ((~main_rx_converter_converter_strobe_all) | main_rx_converter_converter_source_ready);
1594 assign main_rx_converter_converter_source_valid = main_rx_converter_converter_strobe_all;
1595 assign main_rx_converter_converter_load_part = (main_rx_converter_converter_sink_valid & main_rx_converter_converter_sink_ready);
1596 assign main_tx_cdc_asyncfifo_din = {main_tx_cdc_fifo_in_last, main_tx_cdc_fifo_in_first, main_tx_cdc_fifo_in_payload_error, main_tx_cdc_fifo_in_payload_last_be, main_tx_cdc_fifo_in_payload_data};
1597 assign {main_tx_cdc_fifo_out_last, main_tx_cdc_fifo_out_first, main_tx_cdc_fifo_out_payload_error, main_tx_cdc_fifo_out_payload_last_be, main_tx_cdc_fifo_out_payload_data} = main_tx_cdc_asyncfifo_dout;
1598 assign main_tx_cdc_sink_ready = main_tx_cdc_asyncfifo_writable;
1599 assign main_tx_cdc_asyncfifo_we = main_tx_cdc_sink_valid;
1600 assign main_tx_cdc_fifo_in_first = main_tx_cdc_sink_first;
1601 assign main_tx_cdc_fifo_in_last = main_tx_cdc_sink_last;
1602 assign main_tx_cdc_fifo_in_payload_data = main_tx_cdc_sink_payload_data;
1603 assign main_tx_cdc_fifo_in_payload_last_be = main_tx_cdc_sink_payload_last_be;
1604 assign main_tx_cdc_fifo_in_payload_error = main_tx_cdc_sink_payload_error;
1605 assign main_tx_cdc_source_valid = main_tx_cdc_asyncfifo_readable;
1606 assign main_tx_cdc_source_first = main_tx_cdc_fifo_out_first;
1607 assign main_tx_cdc_source_last = main_tx_cdc_fifo_out_last;
1608 assign main_tx_cdc_source_payload_data = main_tx_cdc_fifo_out_payload_data;
1609 assign main_tx_cdc_source_payload_last_be = main_tx_cdc_fifo_out_payload_last_be;
1610 assign main_tx_cdc_source_payload_error = main_tx_cdc_fifo_out_payload_error;
1611 assign main_tx_cdc_asyncfifo_re = main_tx_cdc_source_ready;
1612 assign main_tx_cdc_graycounter0_ce = (main_tx_cdc_asyncfifo_writable & main_tx_cdc_asyncfifo_we);
1613 assign main_tx_cdc_graycounter1_ce = (main_tx_cdc_asyncfifo_readable & main_tx_cdc_asyncfifo_re);
1614 assign main_tx_cdc_asyncfifo_writable = (((main_tx_cdc_graycounter0_q[6] == main_tx_cdc_consume_wdomain[6]) | (main_tx_cdc_graycounter0_q[5] == main_tx_cdc_consume_wdomain[5])) | (main_tx_cdc_graycounter0_q[4:0] != main_tx_cdc_consume_wdomain[4:0]));
1615 assign main_tx_cdc_asyncfifo_readable = (main_tx_cdc_graycounter1_q != main_tx_cdc_produce_rdomain);
1616 assign main_tx_cdc_wrport_adr = main_tx_cdc_graycounter0_q_binary[5:0];
1617 assign main_tx_cdc_wrport_dat_w = main_tx_cdc_asyncfifo_din;
1618 assign main_tx_cdc_wrport_we = main_tx_cdc_graycounter0_ce;
1619 assign main_tx_cdc_rdport_adr = main_tx_cdc_graycounter1_q_next_binary[5:0];
1620 assign main_tx_cdc_asyncfifo_dout = main_tx_cdc_rdport_dat_r;
1621 always @(*) begin
1622 main_tx_cdc_graycounter0_q_next_binary <= 7'd0;
1623 if (main_tx_cdc_graycounter0_ce) begin
1624 main_tx_cdc_graycounter0_q_next_binary <= (main_tx_cdc_graycounter0_q_binary + 1'd1);
1625 end else begin
1626 main_tx_cdc_graycounter0_q_next_binary <= main_tx_cdc_graycounter0_q_binary;
1627 end
1628 end
1629 assign main_tx_cdc_graycounter0_q_next = (main_tx_cdc_graycounter0_q_next_binary ^ main_tx_cdc_graycounter0_q_next_binary[6:1]);
1630 always @(*) begin
1631 main_tx_cdc_graycounter1_q_next_binary <= 7'd0;
1632 if (main_tx_cdc_graycounter1_ce) begin
1633 main_tx_cdc_graycounter1_q_next_binary <= (main_tx_cdc_graycounter1_q_binary + 1'd1);
1634 end else begin
1635 main_tx_cdc_graycounter1_q_next_binary <= main_tx_cdc_graycounter1_q_binary;
1636 end
1637 end
1638 assign main_tx_cdc_graycounter1_q_next = (main_tx_cdc_graycounter1_q_next_binary ^ main_tx_cdc_graycounter1_q_next_binary[6:1]);
1639 assign main_rx_cdc_asyncfifo_din = {main_rx_cdc_fifo_in_last, main_rx_cdc_fifo_in_first, main_rx_cdc_fifo_in_payload_error, main_rx_cdc_fifo_in_payload_last_be, main_rx_cdc_fifo_in_payload_data};
1640 assign {main_rx_cdc_fifo_out_last, main_rx_cdc_fifo_out_first, main_rx_cdc_fifo_out_payload_error, main_rx_cdc_fifo_out_payload_last_be, main_rx_cdc_fifo_out_payload_data} = main_rx_cdc_asyncfifo_dout;
1641 assign main_rx_cdc_sink_ready = main_rx_cdc_asyncfifo_writable;
1642 assign main_rx_cdc_asyncfifo_we = main_rx_cdc_sink_valid;
1643 assign main_rx_cdc_fifo_in_first = main_rx_cdc_sink_first;
1644 assign main_rx_cdc_fifo_in_last = main_rx_cdc_sink_last;
1645 assign main_rx_cdc_fifo_in_payload_data = main_rx_cdc_sink_payload_data;
1646 assign main_rx_cdc_fifo_in_payload_last_be = main_rx_cdc_sink_payload_last_be;
1647 assign main_rx_cdc_fifo_in_payload_error = main_rx_cdc_sink_payload_error;
1648 assign main_rx_cdc_source_valid = main_rx_cdc_asyncfifo_readable;
1649 assign main_rx_cdc_source_first = main_rx_cdc_fifo_out_first;
1650 assign main_rx_cdc_source_last = main_rx_cdc_fifo_out_last;
1651 assign main_rx_cdc_source_payload_data = main_rx_cdc_fifo_out_payload_data;
1652 assign main_rx_cdc_source_payload_last_be = main_rx_cdc_fifo_out_payload_last_be;
1653 assign main_rx_cdc_source_payload_error = main_rx_cdc_fifo_out_payload_error;
1654 assign main_rx_cdc_asyncfifo_re = main_rx_cdc_source_ready;
1655 assign main_rx_cdc_graycounter0_ce = (main_rx_cdc_asyncfifo_writable & main_rx_cdc_asyncfifo_we);
1656 assign main_rx_cdc_graycounter1_ce = (main_rx_cdc_asyncfifo_readable & main_rx_cdc_asyncfifo_re);
1657 assign main_rx_cdc_asyncfifo_writable = (((main_rx_cdc_graycounter0_q[6] == main_rx_cdc_consume_wdomain[6]) | (main_rx_cdc_graycounter0_q[5] == main_rx_cdc_consume_wdomain[5])) | (main_rx_cdc_graycounter0_q[4:0] != main_rx_cdc_consume_wdomain[4:0]));
1658 assign main_rx_cdc_asyncfifo_readable = (main_rx_cdc_graycounter1_q != main_rx_cdc_produce_rdomain);
1659 assign main_rx_cdc_wrport_adr = main_rx_cdc_graycounter0_q_binary[5:0];
1660 assign main_rx_cdc_wrport_dat_w = main_rx_cdc_asyncfifo_din;
1661 assign main_rx_cdc_wrport_we = main_rx_cdc_graycounter0_ce;
1662 assign main_rx_cdc_rdport_adr = main_rx_cdc_graycounter1_q_next_binary[5:0];
1663 assign main_rx_cdc_asyncfifo_dout = main_rx_cdc_rdport_dat_r;
1664 always @(*) begin
1665 main_rx_cdc_graycounter0_q_next_binary <= 7'd0;
1666 if (main_rx_cdc_graycounter0_ce) begin
1667 main_rx_cdc_graycounter0_q_next_binary <= (main_rx_cdc_graycounter0_q_binary + 1'd1);
1668 end else begin
1669 main_rx_cdc_graycounter0_q_next_binary <= main_rx_cdc_graycounter0_q_binary;
1670 end
1671 end
1672 assign main_rx_cdc_graycounter0_q_next = (main_rx_cdc_graycounter0_q_next_binary ^ main_rx_cdc_graycounter0_q_next_binary[6:1]);
1673 always @(*) begin
1674 main_rx_cdc_graycounter1_q_next_binary <= 7'd0;
1675 if (main_rx_cdc_graycounter1_ce) begin
1676 main_rx_cdc_graycounter1_q_next_binary <= (main_rx_cdc_graycounter1_q_binary + 1'd1);
1677 end else begin
1678 main_rx_cdc_graycounter1_q_next_binary <= main_rx_cdc_graycounter1_q_binary;
1679 end
1680 end
1681 assign main_rx_cdc_graycounter1_q_next = (main_rx_cdc_graycounter1_q_next_binary ^ main_rx_cdc_graycounter1_q_next_binary[6:1]);
1682 assign main_tx_converter_sink_valid = main_tx_cdc_source_valid;
1683 assign main_tx_cdc_source_ready = main_tx_converter_sink_ready;
1684 assign main_tx_converter_sink_first = main_tx_cdc_source_first;
1685 assign main_tx_converter_sink_last = main_tx_cdc_source_last;
1686 assign main_tx_converter_sink_payload_data = main_tx_cdc_source_payload_data;
1687 assign main_tx_converter_sink_payload_last_be = main_tx_cdc_source_payload_last_be;
1688 assign main_tx_converter_sink_payload_error = main_tx_cdc_source_payload_error;
1689 assign main_tx_last_be_sink_valid = main_tx_converter_source_valid;
1690 assign main_tx_converter_source_ready = main_tx_last_be_sink_ready;
1691 assign main_tx_last_be_sink_first = main_tx_converter_source_first;
1692 assign main_tx_last_be_sink_last = main_tx_converter_source_last;
1693 assign main_tx_last_be_sink_payload_data = main_tx_converter_source_payload_data;
1694 assign main_tx_last_be_sink_payload_last_be = main_tx_converter_source_payload_last_be;
1695 assign main_tx_last_be_sink_payload_error = main_tx_converter_source_payload_error;
1696 assign main_padding_inserter_sink_valid = main_tx_last_be_source_valid;
1697 assign main_tx_last_be_source_ready = main_padding_inserter_sink_ready;
1698 assign main_padding_inserter_sink_first = main_tx_last_be_source_first;
1699 assign main_padding_inserter_sink_last = main_tx_last_be_source_last;
1700 assign main_padding_inserter_sink_payload_data = main_tx_last_be_source_payload_data;
1701 assign main_padding_inserter_sink_payload_last_be = main_tx_last_be_source_payload_last_be;
1702 assign main_padding_inserter_sink_payload_error = main_tx_last_be_source_payload_error;
1703 assign main_crc32_inserter_sink_valid = main_padding_inserter_source_valid;
1704 assign main_padding_inserter_source_ready = main_crc32_inserter_sink_ready;
1705 assign main_crc32_inserter_sink_first = main_padding_inserter_source_first;
1706 assign main_crc32_inserter_sink_last = main_padding_inserter_source_last;
1707 assign main_crc32_inserter_sink_payload_data = main_padding_inserter_source_payload_data;
1708 assign main_crc32_inserter_sink_payload_last_be = main_padding_inserter_source_payload_last_be;
1709 assign main_crc32_inserter_sink_payload_error = main_padding_inserter_source_payload_error;
1710 assign main_preamble_inserter_sink_valid = main_crc32_inserter_source_valid;
1711 assign main_crc32_inserter_source_ready = main_preamble_inserter_sink_ready;
1712 assign main_preamble_inserter_sink_first = main_crc32_inserter_source_first;
1713 assign main_preamble_inserter_sink_last = main_crc32_inserter_source_last;
1714 assign main_preamble_inserter_sink_payload_data = main_crc32_inserter_source_payload_data;
1715 assign main_preamble_inserter_sink_payload_last_be = main_crc32_inserter_source_payload_last_be;
1716 assign main_preamble_inserter_sink_payload_error = main_crc32_inserter_source_payload_error;
1717 assign main_tx_gap_inserter_sink_valid = main_preamble_inserter_source_valid;
1718 assign main_preamble_inserter_source_ready = main_tx_gap_inserter_sink_ready;
1719 assign main_tx_gap_inserter_sink_first = main_preamble_inserter_source_first;
1720 assign main_tx_gap_inserter_sink_last = main_preamble_inserter_source_last;
1721 assign main_tx_gap_inserter_sink_payload_data = main_preamble_inserter_source_payload_data;
1722 assign main_tx_gap_inserter_sink_payload_last_be = main_preamble_inserter_source_payload_last_be;
1723 assign main_tx_gap_inserter_sink_payload_error = main_preamble_inserter_source_payload_error;
1724 assign main_maccore_ethphy_liteethphymiitx_sink_sink_valid = main_tx_gap_inserter_source_valid;
1725 assign main_tx_gap_inserter_source_ready = main_maccore_ethphy_liteethphymiitx_sink_sink_ready;
1726 assign main_maccore_ethphy_liteethphymiitx_sink_sink_first = main_tx_gap_inserter_source_first;
1727 assign main_maccore_ethphy_liteethphymiitx_sink_sink_last = main_tx_gap_inserter_source_last;
1728 assign main_maccore_ethphy_liteethphymiitx_sink_sink_payload_data = main_tx_gap_inserter_source_payload_data;
1729 assign main_maccore_ethphy_liteethphymiitx_sink_sink_payload_last_be = main_tx_gap_inserter_source_payload_last_be;
1730 assign main_maccore_ethphy_liteethphymiitx_sink_sink_payload_error = main_tx_gap_inserter_source_payload_error;
1731 assign main_preamble_checker_sink_valid = main_maccore_ethphy_liteethphymiirx_source_source_valid;
1732 assign main_maccore_ethphy_liteethphymiirx_source_source_ready = main_preamble_checker_sink_ready;
1733 assign main_preamble_checker_sink_first = main_maccore_ethphy_liteethphymiirx_source_source_first;
1734 assign main_preamble_checker_sink_last = main_maccore_ethphy_liteethphymiirx_source_source_last;
1735 assign main_preamble_checker_sink_payload_data = main_maccore_ethphy_liteethphymiirx_source_source_payload_data;
1736 assign main_preamble_checker_sink_payload_last_be = main_maccore_ethphy_liteethphymiirx_source_source_payload_last_be;
1737 assign main_preamble_checker_sink_payload_error = main_maccore_ethphy_liteethphymiirx_source_source_payload_error;
1738 assign main_crc32_checker_sink_sink_valid = main_preamble_checker_source_valid;
1739 assign main_preamble_checker_source_ready = main_crc32_checker_sink_sink_ready;
1740 assign main_crc32_checker_sink_sink_first = main_preamble_checker_source_first;
1741 assign main_crc32_checker_sink_sink_last = main_preamble_checker_source_last;
1742 assign main_crc32_checker_sink_sink_payload_data = main_preamble_checker_source_payload_data;
1743 assign main_crc32_checker_sink_sink_payload_last_be = main_preamble_checker_source_payload_last_be;
1744 assign main_crc32_checker_sink_sink_payload_error = main_preamble_checker_source_payload_error;
1745 assign main_padding_checker_sink_valid = main_crc32_checker_source_source_valid;
1746 assign main_crc32_checker_source_source_ready = main_padding_checker_sink_ready;
1747 assign main_padding_checker_sink_first = main_crc32_checker_source_source_first;
1748 assign main_padding_checker_sink_last = main_crc32_checker_source_source_last;
1749 assign main_padding_checker_sink_payload_data = main_crc32_checker_source_source_payload_data;
1750 assign main_padding_checker_sink_payload_last_be = main_crc32_checker_source_source_payload_last_be;
1751 assign main_padding_checker_sink_payload_error = main_crc32_checker_source_source_payload_error;
1752 assign main_rx_last_be_sink_valid = main_padding_checker_source_valid;
1753 assign main_padding_checker_source_ready = main_rx_last_be_sink_ready;
1754 assign main_rx_last_be_sink_first = main_padding_checker_source_first;
1755 assign main_rx_last_be_sink_last = main_padding_checker_source_last;
1756 assign main_rx_last_be_sink_payload_data = main_padding_checker_source_payload_data;
1757 assign main_rx_last_be_sink_payload_last_be = main_padding_checker_source_payload_last_be;
1758 assign main_rx_last_be_sink_payload_error = main_padding_checker_source_payload_error;
1759 assign main_rx_converter_sink_valid = main_rx_last_be_source_valid;
1760 assign main_rx_last_be_source_ready = main_rx_converter_sink_ready;
1761 assign main_rx_converter_sink_first = main_rx_last_be_source_first;
1762 assign main_rx_converter_sink_last = main_rx_last_be_source_last;
1763 assign main_rx_converter_sink_payload_data = main_rx_last_be_source_payload_data;
1764 assign main_rx_converter_sink_payload_last_be = main_rx_last_be_source_payload_last_be;
1765 assign main_rx_converter_sink_payload_error = main_rx_last_be_source_payload_error;
1766 assign main_rx_cdc_sink_valid = main_rx_converter_source_valid;
1767 assign main_rx_converter_source_ready = main_rx_cdc_sink_ready;
1768 assign main_rx_cdc_sink_first = main_rx_converter_source_first;
1769 assign main_rx_cdc_sink_last = main_rx_converter_source_last;
1770 assign main_rx_cdc_sink_payload_data = main_rx_converter_source_payload_data;
1771 assign main_rx_cdc_sink_payload_last_be = main_rx_converter_source_payload_last_be;
1772 assign main_rx_cdc_sink_payload_error = main_rx_converter_source_payload_error;
1773 assign main_writer_sink_sink_valid = main_sink_valid;
1774 assign main_sink_ready = main_writer_sink_sink_ready;
1775 assign main_writer_sink_sink_first = main_sink_first;
1776 assign main_writer_sink_sink_last = main_sink_last;
1777 assign main_writer_sink_sink_payload_data = main_sink_payload_data;
1778 assign main_writer_sink_sink_payload_last_be = main_sink_payload_last_be;
1779 assign main_writer_sink_sink_payload_error = main_sink_payload_error;
1780 assign main_source_valid = main_reader_source_source_valid;
1781 assign main_reader_source_source_ready = main_source_ready;
1782 assign main_source_first = main_reader_source_source_first;
1783 assign main_source_last = main_reader_source_source_last;
1784 assign main_source_payload_data = main_reader_source_source_payload_data;
1785 assign main_source_payload_last_be = main_reader_source_source_payload_last_be;
1786 assign main_source_payload_error = main_reader_source_source_payload_error;
1787 always @(*) begin
1788 main_writer_inc <= 3'd0;
1789 case (main_writer_sink_sink_payload_last_be)
1790 1'd1: begin
1791 main_writer_inc <= 1'd1;
1792 end
1793 2'd2: begin
1794 main_writer_inc <= 2'd2;
1795 end
1796 3'd4: begin
1797 main_writer_inc <= 2'd3;
1798 end
1799 default: begin
1800 main_writer_inc <= 3'd4;
1801 end
1802 endcase
1803 end
1804 assign main_writer_fifo_sink_payload_slot = main_writer_slot;
1805 assign main_writer_fifo_sink_payload_length = main_writer_counter;
1806 assign main_writer_fifo_source_ready = main_writer_available_clear;
1807 assign main_writer_available_trigger = main_writer_fifo_source_valid;
1808 assign main_writer_slot_status = main_writer_fifo_source_payload_slot;
1809 assign main_writer_length_status = main_writer_fifo_source_payload_length;
1810 always @(*) begin
1811 main_writer_memory0_we <= 1'd0;
1812 main_writer_memory0_dat_w <= 32'd0;
1813 main_writer_memory1_adr <= 9'd0;
1814 main_writer_memory1_we <= 1'd0;
1815 main_writer_memory0_adr <= 9'd0;
1816 main_writer_memory1_dat_w <= 32'd0;
1817 case (main_writer_slot)
1818 1'd0: begin
1819 main_writer_memory0_adr <= main_writer_counter[31:2];
1820 main_writer_memory0_dat_w <= main_writer_sink_sink_payload_data;
1821 if ((main_writer_sink_sink_valid & main_writer_ongoing)) begin
1822 main_writer_memory0_we <= 4'd15;
1823 end
1824 end
1825 1'd1: begin
1826 main_writer_memory1_adr <= main_writer_counter[31:2];
1827 main_writer_memory1_dat_w <= main_writer_sink_sink_payload_data;
1828 if ((main_writer_sink_sink_valid & main_writer_ongoing)) begin
1829 main_writer_memory1_we <= 4'd15;
1830 end
1831 end
1832 endcase
1833 end
1834 assign main_writer_status_w = main_writer_available_status;
1835 always @(*) begin
1836 main_writer_available_clear <= 1'd0;
1837 if ((main_writer_pending_re & main_writer_pending_r)) begin
1838 main_writer_available_clear <= 1'd1;
1839 end
1840 end
1841 assign main_writer_pending_w = main_writer_available_pending;
1842 assign main_writer_irq = (main_writer_pending_w & main_writer_storage);
1843 assign main_writer_available_status = main_writer_available_trigger;
1844 assign main_writer_available_pending = main_writer_available_trigger;
1845 assign main_writer_fifo_syncfifo_din = {main_writer_fifo_fifo_in_last, main_writer_fifo_fifo_in_first, main_writer_fifo_fifo_in_payload_length, main_writer_fifo_fifo_in_payload_slot};
1846 assign {main_writer_fifo_fifo_out_last, main_writer_fifo_fifo_out_first, main_writer_fifo_fifo_out_payload_length, main_writer_fifo_fifo_out_payload_slot} = main_writer_fifo_syncfifo_dout;
1847 assign main_writer_fifo_sink_ready = main_writer_fifo_syncfifo_writable;
1848 assign main_writer_fifo_syncfifo_we = main_writer_fifo_sink_valid;
1849 assign main_writer_fifo_fifo_in_first = main_writer_fifo_sink_first;
1850 assign main_writer_fifo_fifo_in_last = main_writer_fifo_sink_last;
1851 assign main_writer_fifo_fifo_in_payload_slot = main_writer_fifo_sink_payload_slot;
1852 assign main_writer_fifo_fifo_in_payload_length = main_writer_fifo_sink_payload_length;
1853 assign main_writer_fifo_source_valid = main_writer_fifo_syncfifo_readable;
1854 assign main_writer_fifo_source_first = main_writer_fifo_fifo_out_first;
1855 assign main_writer_fifo_source_last = main_writer_fifo_fifo_out_last;
1856 assign main_writer_fifo_source_payload_slot = main_writer_fifo_fifo_out_payload_slot;
1857 assign main_writer_fifo_source_payload_length = main_writer_fifo_fifo_out_payload_length;
1858 assign main_writer_fifo_syncfifo_re = main_writer_fifo_source_ready;
1859 always @(*) begin
1860 main_writer_fifo_wrport_adr <= 1'd0;
1861 if (main_writer_fifo_replace) begin
1862 main_writer_fifo_wrport_adr <= (main_writer_fifo_produce - 1'd1);
1863 end else begin
1864 main_writer_fifo_wrport_adr <= main_writer_fifo_produce;
1865 end
1866 end
1867 assign main_writer_fifo_wrport_dat_w = main_writer_fifo_syncfifo_din;
1868 assign main_writer_fifo_wrport_we = (main_writer_fifo_syncfifo_we & (main_writer_fifo_syncfifo_writable | main_writer_fifo_replace));
1869 assign main_writer_fifo_do_read = (main_writer_fifo_syncfifo_readable & main_writer_fifo_syncfifo_re);
1870 assign main_writer_fifo_rdport_adr = main_writer_fifo_consume;
1871 assign main_writer_fifo_syncfifo_dout = main_writer_fifo_rdport_dat_r;
1872 assign main_writer_fifo_syncfifo_writable = (main_writer_fifo_level != 2'd2);
1873 assign main_writer_fifo_syncfifo_readable = (main_writer_fifo_level != 1'd0);
1874 always @(*) begin
1875 main_writer_counter_t_next_value_ce <= 1'd0;
1876 main_writer_ongoing <= 1'd0;
1877 main_writer_errors_status_f_next_value <= 32'd0;
1878 main_writer_fifo_sink_valid <= 1'd0;
1879 main_writer_errors_status_f_next_value_ce <= 1'd0;
1880 main_writer_slot_ce <= 1'd0;
1881 builder_liteethmacsramwriter_next_state <= 3'd0;
1882 main_writer_counter_t_next_value <= 32'd0;
1883 builder_liteethmacsramwriter_next_state <= builder_liteethmacsramwriter_state;
1884 case (builder_liteethmacsramwriter_state)
1885 1'd1: begin
1886 if (main_writer_sink_sink_valid) begin
1887 if ((main_writer_counter == 11'd1530)) begin
1888 builder_liteethmacsramwriter_next_state <= 2'd3;
1889 end else begin
1890 main_writer_counter_t_next_value <= (main_writer_counter + main_writer_inc);
1891 main_writer_counter_t_next_value_ce <= 1'd1;
1892 main_writer_ongoing <= 1'd1;
1893 end
1894 if (main_writer_sink_sink_last) begin
1895 if (((main_writer_sink_sink_payload_error & main_writer_sink_sink_payload_last_be) != 1'd0)) begin
1896 builder_liteethmacsramwriter_next_state <= 2'd2;
1897 end else begin
1898 builder_liteethmacsramwriter_next_state <= 3'd4;
1899 end
1900 end
1901 end
1902 end
1903 2'd2: begin
1904 main_writer_counter_t_next_value <= 1'd0;
1905 main_writer_counter_t_next_value_ce <= 1'd1;
1906 builder_liteethmacsramwriter_next_state <= 1'd0;
1907 end
1908 2'd3: begin
1909 if ((main_writer_sink_sink_valid & main_writer_sink_sink_last)) begin
1910 builder_liteethmacsramwriter_next_state <= 3'd4;
1911 end
1912 end
1913 3'd4: begin
1914 main_writer_counter_t_next_value <= 1'd0;
1915 main_writer_counter_t_next_value_ce <= 1'd1;
1916 main_writer_slot_ce <= 1'd1;
1917 main_writer_fifo_sink_valid <= 1'd1;
1918 builder_liteethmacsramwriter_next_state <= 1'd0;
1919 end
1920 default: begin
1921 if (main_writer_sink_sink_valid) begin
1922 if (main_writer_fifo_sink_ready) begin
1923 main_writer_ongoing <= 1'd1;
1924 main_writer_counter_t_next_value <= (main_writer_counter + main_writer_inc);
1925 main_writer_counter_t_next_value_ce <= 1'd1;
1926 builder_liteethmacsramwriter_next_state <= 1'd1;
1927 end else begin
1928 main_writer_errors_status_f_next_value <= (main_writer_errors_status + 1'd1);
1929 main_writer_errors_status_f_next_value_ce <= 1'd1;
1930 builder_liteethmacsramwriter_next_state <= 2'd3;
1931 end
1932 end
1933 end
1934 endcase
1935 end
1936 assign main_reader_fifo_sink_valid = main_reader_start_re;
1937 assign main_reader_fifo_sink_payload_slot = main_reader_slot_storage;
1938 assign main_reader_fifo_sink_payload_length = main_reader_length_storage;
1939 assign main_reader_ready_status = main_reader_fifo_sink_ready;
1940 assign main_reader_level_status = main_reader_fifo_level;
1941 always @(*) begin
1942 main_reader_source_source_payload_last_be <= 4'd0;
1943 if (main_reader_source_source_last) begin
1944 case (main_reader_fifo_source_payload_length[1:0])
1945 1'd0: begin
1946 main_reader_source_source_payload_last_be <= 4'd8;
1947 end
1948 1'd1: begin
1949 main_reader_source_source_payload_last_be <= 1'd1;
1950 end
1951 2'd2: begin
1952 main_reader_source_source_payload_last_be <= 2'd2;
1953 end
1954 2'd3: begin
1955 main_reader_source_source_payload_last_be <= 3'd4;
1956 end
1957 endcase
1958 end
1959 end
1960 assign main_reader_memory0_adr = main_reader_counter[10:2];
1961 assign main_reader_memory1_adr = main_reader_counter[10:2];
1962 always @(*) begin
1963 main_reader_source_source_payload_data <= 32'd0;
1964 case (main_reader_fifo_source_payload_slot)
1965 1'd0: begin
1966 main_reader_source_source_payload_data <= main_reader_memory0_dat_r;
1967 end
1968 1'd1: begin
1969 main_reader_source_source_payload_data <= main_reader_memory1_dat_r;
1970 end
1971 endcase
1972 end
1973 assign main_reader_eventmanager_status_w = main_reader_done_status;
1974 always @(*) begin
1975 main_reader_done_clear <= 1'd0;
1976 if ((main_reader_eventmanager_pending_re & main_reader_eventmanager_pending_r)) begin
1977 main_reader_done_clear <= 1'd1;
1978 end
1979 end
1980 assign main_reader_eventmanager_pending_w = main_reader_done_pending;
1981 assign main_reader_irq = (main_reader_eventmanager_pending_w & main_reader_eventmanager_storage);
1982 assign main_reader_done_status = 1'd0;
1983 assign main_reader_fifo_syncfifo_din = {main_reader_fifo_fifo_in_last, main_reader_fifo_fifo_in_first, main_reader_fifo_fifo_in_payload_length, main_reader_fifo_fifo_in_payload_slot};
1984 assign {main_reader_fifo_fifo_out_last, main_reader_fifo_fifo_out_first, main_reader_fifo_fifo_out_payload_length, main_reader_fifo_fifo_out_payload_slot} = main_reader_fifo_syncfifo_dout;
1985 assign main_reader_fifo_sink_ready = main_reader_fifo_syncfifo_writable;
1986 assign main_reader_fifo_syncfifo_we = main_reader_fifo_sink_valid;
1987 assign main_reader_fifo_fifo_in_first = main_reader_fifo_sink_first;
1988 assign main_reader_fifo_fifo_in_last = main_reader_fifo_sink_last;
1989 assign main_reader_fifo_fifo_in_payload_slot = main_reader_fifo_sink_payload_slot;
1990 assign main_reader_fifo_fifo_in_payload_length = main_reader_fifo_sink_payload_length;
1991 assign main_reader_fifo_source_valid = main_reader_fifo_syncfifo_readable;
1992 assign main_reader_fifo_source_first = main_reader_fifo_fifo_out_first;
1993 assign main_reader_fifo_source_last = main_reader_fifo_fifo_out_last;
1994 assign main_reader_fifo_source_payload_slot = main_reader_fifo_fifo_out_payload_slot;
1995 assign main_reader_fifo_source_payload_length = main_reader_fifo_fifo_out_payload_length;
1996 assign main_reader_fifo_syncfifo_re = main_reader_fifo_source_ready;
1997 always @(*) begin
1998 main_reader_fifo_wrport_adr <= 1'd0;
1999 if (main_reader_fifo_replace) begin
2000 main_reader_fifo_wrport_adr <= (main_reader_fifo_produce - 1'd1);
2001 end else begin
2002 main_reader_fifo_wrport_adr <= main_reader_fifo_produce;
2003 end
2004 end
2005 assign main_reader_fifo_wrport_dat_w = main_reader_fifo_syncfifo_din;
2006 assign main_reader_fifo_wrport_we = (main_reader_fifo_syncfifo_we & (main_reader_fifo_syncfifo_writable | main_reader_fifo_replace));
2007 assign main_reader_fifo_do_read = (main_reader_fifo_syncfifo_readable & main_reader_fifo_syncfifo_re);
2008 assign main_reader_fifo_rdport_adr = main_reader_fifo_consume;
2009 assign main_reader_fifo_syncfifo_dout = main_reader_fifo_rdport_dat_r;
2010 assign main_reader_fifo_syncfifo_writable = (main_reader_fifo_level != 2'd2);
2011 assign main_reader_fifo_syncfifo_readable = (main_reader_fifo_level != 1'd0);
2012 always @(*) begin
2013 builder_liteethmacsramreader_next_state <= 2'd0;
2014 main_reader_source_source_last <= 1'd0;
2015 main_reader_counter_next_value <= 11'd0;
2016 main_reader_counter_next_value_ce <= 1'd0;
2017 main_reader_source_source_valid <= 1'd0;
2018 main_reader_done_trigger <= 1'd0;
2019 main_reader_fifo_source_ready <= 1'd0;
2020 builder_liteethmacsramreader_next_state <= builder_liteethmacsramreader_state;
2021 case (builder_liteethmacsramreader_state)
2022 1'd1: begin
2023 main_reader_source_source_valid <= 1'd1;
2024 main_reader_source_source_last <= (main_reader_counter >= (main_reader_fifo_source_payload_length - 3'd4));
2025 if (main_reader_source_source_ready) begin
2026 main_reader_counter_next_value <= (main_reader_counter + 3'd4);
2027 main_reader_counter_next_value_ce <= 1'd1;
2028 if (main_reader_source_source_last) begin
2029 builder_liteethmacsramreader_next_state <= 2'd2;
2030 end
2031 end
2032 end
2033 2'd2: begin
2034 main_reader_fifo_source_ready <= 1'd1;
2035 main_reader_done_trigger <= 1'd1;
2036 builder_liteethmacsramreader_next_state <= 1'd0;
2037 end
2038 default: begin
2039 main_reader_counter_next_value <= 1'd0;
2040 main_reader_counter_next_value_ce <= 1'd1;
2041 if (main_reader_fifo_source_valid) begin
2042 builder_liteethmacsramreader_next_state <= 1'd1;
2043 end
2044 end
2045 endcase
2046 end
2047 assign main_ev_irq = (main_writer_irq | main_reader_irq);
2048 assign main_sram0_adr0 = main_sram0_bus_adr0[8:0];
2049 assign main_sram0_bus_dat_r0 = main_sram0_dat_r0;
2050 assign main_sram1_adr0 = main_sram1_bus_adr0[8:0];
2051 assign main_sram1_bus_dat_r0 = main_sram1_dat_r0;
2052 always @(*) begin
2053 main_sram0_we <= 4'd0;
2054 main_sram0_we[0] <= (((main_sram0_bus_cyc1 & main_sram0_bus_stb1) & main_sram0_bus_we1) & main_sram0_bus_sel1[0]);
2055 main_sram0_we[1] <= (((main_sram0_bus_cyc1 & main_sram0_bus_stb1) & main_sram0_bus_we1) & main_sram0_bus_sel1[1]);
2056 main_sram0_we[2] <= (((main_sram0_bus_cyc1 & main_sram0_bus_stb1) & main_sram0_bus_we1) & main_sram0_bus_sel1[2]);
2057 main_sram0_we[3] <= (((main_sram0_bus_cyc1 & main_sram0_bus_stb1) & main_sram0_bus_we1) & main_sram0_bus_sel1[3]);
2058 end
2059 assign main_sram0_adr1 = main_sram0_bus_adr1[8:0];
2060 assign main_sram0_bus_dat_r1 = main_sram0_dat_r1;
2061 assign main_sram0_dat_w = main_sram0_bus_dat_w1;
2062 always @(*) begin
2063 main_sram1_we <= 4'd0;
2064 main_sram1_we[0] <= (((main_sram1_bus_cyc1 & main_sram1_bus_stb1) & main_sram1_bus_we1) & main_sram1_bus_sel1[0]);
2065 main_sram1_we[1] <= (((main_sram1_bus_cyc1 & main_sram1_bus_stb1) & main_sram1_bus_we1) & main_sram1_bus_sel1[1]);
2066 main_sram1_we[2] <= (((main_sram1_bus_cyc1 & main_sram1_bus_stb1) & main_sram1_bus_we1) & main_sram1_bus_sel1[2]);
2067 main_sram1_we[3] <= (((main_sram1_bus_cyc1 & main_sram1_bus_stb1) & main_sram1_bus_we1) & main_sram1_bus_sel1[3]);
2068 end
2069 assign main_sram1_adr1 = main_sram1_bus_adr1[8:0];
2070 assign main_sram1_bus_dat_r1 = main_sram1_dat_r1;
2071 assign main_sram1_dat_w = main_sram1_bus_dat_w1;
2072 always @(*) begin
2073 main_slave_sel <= 4'd0;
2074 main_slave_sel[0] <= (main_bus_adr[10:9] == 1'd0);
2075 main_slave_sel[1] <= (main_bus_adr[10:9] == 1'd1);
2076 main_slave_sel[2] <= (main_bus_adr[10:9] == 2'd2);
2077 main_slave_sel[3] <= (main_bus_adr[10:9] == 2'd3);
2078 end
2079 assign main_sram0_bus_adr0 = main_bus_adr;
2080 assign main_sram0_bus_dat_w0 = main_bus_dat_w;
2081 assign main_sram0_bus_sel0 = main_bus_sel;
2082 assign main_sram0_bus_stb0 = main_bus_stb;
2083 assign main_sram0_bus_we0 = main_bus_we;
2084 assign main_sram0_bus_cti0 = main_bus_cti;
2085 assign main_sram0_bus_bte0 = main_bus_bte;
2086 assign main_sram1_bus_adr0 = main_bus_adr;
2087 assign main_sram1_bus_dat_w0 = main_bus_dat_w;
2088 assign main_sram1_bus_sel0 = main_bus_sel;
2089 assign main_sram1_bus_stb0 = main_bus_stb;
2090 assign main_sram1_bus_we0 = main_bus_we;
2091 assign main_sram1_bus_cti0 = main_bus_cti;
2092 assign main_sram1_bus_bte0 = main_bus_bte;
2093 assign main_sram0_bus_adr1 = main_bus_adr;
2094 assign main_sram0_bus_dat_w1 = main_bus_dat_w;
2095 assign main_sram0_bus_sel1 = main_bus_sel;
2096 assign main_sram0_bus_stb1 = main_bus_stb;
2097 assign main_sram0_bus_we1 = main_bus_we;
2098 assign main_sram0_bus_cti1 = main_bus_cti;
2099 assign main_sram0_bus_bte1 = main_bus_bte;
2100 assign main_sram1_bus_adr1 = main_bus_adr;
2101 assign main_sram1_bus_dat_w1 = main_bus_dat_w;
2102 assign main_sram1_bus_sel1 = main_bus_sel;
2103 assign main_sram1_bus_stb1 = main_bus_stb;
2104 assign main_sram1_bus_we1 = main_bus_we;
2105 assign main_sram1_bus_cti1 = main_bus_cti;
2106 assign main_sram1_bus_bte1 = main_bus_bte;
2107 assign main_sram0_bus_cyc0 = (main_bus_cyc & main_slave_sel[0]);
2108 assign main_sram1_bus_cyc0 = (main_bus_cyc & main_slave_sel[1]);
2109 assign main_sram0_bus_cyc1 = (main_bus_cyc & main_slave_sel[2]);
2110 assign main_sram1_bus_cyc1 = (main_bus_cyc & main_slave_sel[3]);
2111 assign main_bus_ack = (((main_sram0_bus_ack0 | main_sram1_bus_ack0) | main_sram0_bus_ack1) | main_sram1_bus_ack1);
2112 assign main_bus_err = (((main_sram0_bus_err0 | main_sram1_bus_err0) | main_sram0_bus_err1) | main_sram1_bus_err1);
2113 assign main_bus_dat_r = (((({32{main_slave_sel_r[0]}} & main_sram0_bus_dat_r0) | ({32{main_slave_sel_r[1]}} & main_sram1_bus_dat_r0)) | ({32{main_slave_sel_r[2]}} & main_sram0_bus_dat_r1)) | ({32{main_slave_sel_r[3]}} & main_sram1_bus_dat_r1));
2114 assign builder_shared_adr = builder_array_muxed0;
2115 assign builder_shared_dat_w = builder_array_muxed1;
2116 assign builder_shared_sel = builder_array_muxed2;
2117 assign builder_shared_cyc = builder_array_muxed3;
2118 assign builder_shared_stb = builder_array_muxed4;
2119 assign builder_shared_we = builder_array_muxed5;
2120 assign builder_shared_cti = builder_array_muxed6;
2121 assign builder_shared_bte = builder_array_muxed7;
2122 assign wishbone_dat_r = builder_shared_dat_r;
2123 assign wishbone_ack = (builder_shared_ack & (builder_grant == 1'd0));
2124 assign wishbone_err = (builder_shared_err & (builder_grant == 1'd0));
2125 assign builder_request = {wishbone_cyc};
2126 assign builder_grant = 1'd0;
2127 always @(*) begin
2128 builder_slave_sel <= 2'd0;
2129 builder_slave_sel[0] <= (builder_shared_adr[29:14] == 1'd0);
2130 builder_slave_sel[1] <= (builder_shared_adr[29:11] == 4'd8);
2131 end
2132 assign main_maccore_maccore_wishbone_adr = builder_shared_adr;
2133 assign main_maccore_maccore_wishbone_dat_w = builder_shared_dat_w;
2134 assign main_maccore_maccore_wishbone_sel = builder_shared_sel;
2135 assign main_maccore_maccore_wishbone_stb = builder_shared_stb;
2136 assign main_maccore_maccore_wishbone_we = builder_shared_we;
2137 assign main_maccore_maccore_wishbone_cti = builder_shared_cti;
2138 assign main_maccore_maccore_wishbone_bte = builder_shared_bte;
2139 assign main_bus_adr = builder_shared_adr;
2140 assign main_bus_dat_w = builder_shared_dat_w;
2141 assign main_bus_sel = builder_shared_sel;
2142 assign main_bus_stb = builder_shared_stb;
2143 assign main_bus_we = builder_shared_we;
2144 assign main_bus_cti = builder_shared_cti;
2145 assign main_bus_bte = builder_shared_bte;
2146 assign main_maccore_maccore_wishbone_cyc = (builder_shared_cyc & builder_slave_sel[0]);
2147 assign main_bus_cyc = (builder_shared_cyc & builder_slave_sel[1]);
2148 assign builder_shared_err = (main_maccore_maccore_wishbone_err | main_bus_err);
2149 assign builder_wait = ((builder_shared_stb & builder_shared_cyc) & (~builder_shared_ack));
2150 always @(*) begin
2151 builder_error <= 1'd0;
2152 builder_shared_dat_r <= 32'd0;
2153 builder_shared_ack <= 1'd0;
2154 builder_shared_ack <= (main_maccore_maccore_wishbone_ack | main_bus_ack);
2155 builder_shared_dat_r <= (({32{builder_slave_sel_r[0]}} & main_maccore_maccore_wishbone_dat_r) | ({32{builder_slave_sel_r[1]}} & main_bus_dat_r));
2156 if (builder_done) begin
2157 builder_shared_dat_r <= 32'd4294967295;
2158 builder_shared_ack <= 1'd1;
2159 builder_error <= 1'd1;
2160 end
2161 end
2162 assign builder_done = (builder_count == 1'd0);
2163 assign builder_csrbank0_sel = (builder_interface0_bank_bus_adr[13:9] == 1'd0);
2164 assign builder_csrbank0_reset0_r = builder_interface0_bank_bus_dat_w[0];
2165 assign builder_csrbank0_reset0_re = ((builder_csrbank0_sel & builder_interface0_bank_bus_we) & (builder_interface0_bank_bus_adr[1:0] == 1'd0));
2166 assign builder_csrbank0_reset0_we = ((builder_csrbank0_sel & (~builder_interface0_bank_bus_we)) & (builder_interface0_bank_bus_adr[1:0] == 1'd0));
2167 assign builder_csrbank0_scratch0_r = builder_interface0_bank_bus_dat_w[31:0];
2168 assign builder_csrbank0_scratch0_re = ((builder_csrbank0_sel & builder_interface0_bank_bus_we) & (builder_interface0_bank_bus_adr[1:0] == 1'd1));
2169 assign builder_csrbank0_scratch0_we = ((builder_csrbank0_sel & (~builder_interface0_bank_bus_we)) & (builder_interface0_bank_bus_adr[1:0] == 1'd1));
2170 assign builder_csrbank0_bus_errors_r = builder_interface0_bank_bus_dat_w[31:0];
2171 assign builder_csrbank0_bus_errors_re = ((builder_csrbank0_sel & builder_interface0_bank_bus_we) & (builder_interface0_bank_bus_adr[1:0] == 2'd2));
2172 assign builder_csrbank0_bus_errors_we = ((builder_csrbank0_sel & (~builder_interface0_bank_bus_we)) & (builder_interface0_bank_bus_adr[1:0] == 2'd2));
2173 assign builder_csrbank0_reset0_w = main_maccore_maccore_reset_storage;
2174 assign builder_csrbank0_scratch0_w = main_maccore_maccore_scratch_storage[31:0];
2175 assign builder_csrbank0_bus_errors_w = main_maccore_maccore_bus_errors_status[31:0];
2176 assign main_maccore_maccore_bus_errors_we = builder_csrbank0_bus_errors_we;
2177 assign builder_csrbank1_sel = (builder_interface1_bank_bus_adr[13:9] == 2'd2);
2178 assign builder_csrbank1_sram_writer_slot_r = builder_interface1_bank_bus_dat_w[0];
2179 assign builder_csrbank1_sram_writer_slot_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 1'd0));
2180 assign builder_csrbank1_sram_writer_slot_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 1'd0));
2181 assign builder_csrbank1_sram_writer_length_r = builder_interface1_bank_bus_dat_w[31:0];
2182 assign builder_csrbank1_sram_writer_length_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 1'd1));
2183 assign builder_csrbank1_sram_writer_length_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 1'd1));
2184 assign builder_csrbank1_sram_writer_errors_r = builder_interface1_bank_bus_dat_w[31:0];
2185 assign builder_csrbank1_sram_writer_errors_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 2'd2));
2186 assign builder_csrbank1_sram_writer_errors_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 2'd2));
2187 assign main_writer_status_r = builder_interface1_bank_bus_dat_w[0];
2188 assign main_writer_status_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 2'd3));
2189 assign main_writer_status_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 2'd3));
2190 assign main_writer_pending_r = builder_interface1_bank_bus_dat_w[0];
2191 assign main_writer_pending_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 3'd4));
2192 assign main_writer_pending_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 3'd4));
2193 assign builder_csrbank1_sram_writer_ev_enable0_r = builder_interface1_bank_bus_dat_w[0];
2194 assign builder_csrbank1_sram_writer_ev_enable0_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 3'd5));
2195 assign builder_csrbank1_sram_writer_ev_enable0_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 3'd5));
2196 assign main_reader_start_r = builder_interface1_bank_bus_dat_w[0];
2197 assign main_reader_start_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 3'd6));
2198 assign main_reader_start_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 3'd6));
2199 assign builder_csrbank1_sram_reader_ready_r = builder_interface1_bank_bus_dat_w[0];
2200 assign builder_csrbank1_sram_reader_ready_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 3'd7));
2201 assign builder_csrbank1_sram_reader_ready_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 3'd7));
2202 assign builder_csrbank1_sram_reader_level_r = builder_interface1_bank_bus_dat_w[1:0];
2203 assign builder_csrbank1_sram_reader_level_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 4'd8));
2204 assign builder_csrbank1_sram_reader_level_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 4'd8));
2205 assign builder_csrbank1_sram_reader_slot0_r = builder_interface1_bank_bus_dat_w[0];
2206 assign builder_csrbank1_sram_reader_slot0_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 4'd9));
2207 assign builder_csrbank1_sram_reader_slot0_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 4'd9));
2208 assign builder_csrbank1_sram_reader_length0_r = builder_interface1_bank_bus_dat_w[10:0];
2209 assign builder_csrbank1_sram_reader_length0_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 4'd10));
2210 assign builder_csrbank1_sram_reader_length0_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 4'd10));
2211 assign main_reader_eventmanager_status_r = builder_interface1_bank_bus_dat_w[0];
2212 assign main_reader_eventmanager_status_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 4'd11));
2213 assign main_reader_eventmanager_status_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 4'd11));
2214 assign main_reader_eventmanager_pending_r = builder_interface1_bank_bus_dat_w[0];
2215 assign main_reader_eventmanager_pending_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 4'd12));
2216 assign main_reader_eventmanager_pending_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 4'd12));
2217 assign builder_csrbank1_sram_reader_ev_enable0_r = builder_interface1_bank_bus_dat_w[0];
2218 assign builder_csrbank1_sram_reader_ev_enable0_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 4'd13));
2219 assign builder_csrbank1_sram_reader_ev_enable0_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 4'd13));
2220 assign builder_csrbank1_preamble_crc_r = builder_interface1_bank_bus_dat_w[0];
2221 assign builder_csrbank1_preamble_crc_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 4'd14));
2222 assign builder_csrbank1_preamble_crc_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 4'd14));
2223 assign builder_csrbank1_preamble_errors_r = builder_interface1_bank_bus_dat_w[31:0];
2224 assign builder_csrbank1_preamble_errors_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 4'd15));
2225 assign builder_csrbank1_preamble_errors_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 4'd15));
2226 assign builder_csrbank1_crc_errors_r = builder_interface1_bank_bus_dat_w[31:0];
2227 assign builder_csrbank1_crc_errors_re = ((builder_csrbank1_sel & builder_interface1_bank_bus_we) & (builder_interface1_bank_bus_adr[4:0] == 5'd16));
2228 assign builder_csrbank1_crc_errors_we = ((builder_csrbank1_sel & (~builder_interface1_bank_bus_we)) & (builder_interface1_bank_bus_adr[4:0] == 5'd16));
2229 assign builder_csrbank1_sram_writer_slot_w = main_writer_slot_status;
2230 assign main_writer_slot_we = builder_csrbank1_sram_writer_slot_we;
2231 assign builder_csrbank1_sram_writer_length_w = main_writer_length_status[31:0];
2232 assign main_writer_length_we = builder_csrbank1_sram_writer_length_we;
2233 assign builder_csrbank1_sram_writer_errors_w = main_writer_errors_status[31:0];
2234 assign main_writer_errors_we = builder_csrbank1_sram_writer_errors_we;
2235 assign builder_csrbank1_sram_writer_ev_enable0_w = main_writer_storage;
2236 assign builder_csrbank1_sram_reader_ready_w = main_reader_ready_status;
2237 assign main_reader_ready_we = builder_csrbank1_sram_reader_ready_we;
2238 assign builder_csrbank1_sram_reader_level_w = main_reader_level_status[1:0];
2239 assign main_reader_level_we = builder_csrbank1_sram_reader_level_we;
2240 assign builder_csrbank1_sram_reader_slot0_w = main_reader_slot_storage;
2241 assign builder_csrbank1_sram_reader_length0_w = main_reader_length_storage[10:0];
2242 assign builder_csrbank1_sram_reader_ev_enable0_w = main_reader_eventmanager_storage;
2243 assign builder_csrbank1_preamble_crc_w = main_preamble_crc_status;
2244 assign main_preamble_crc_we = builder_csrbank1_preamble_crc_we;
2245 assign builder_csrbank1_preamble_errors_w = main_preamble_errors_status[31:0];
2246 assign main_preamble_errors_we = builder_csrbank1_preamble_errors_we;
2247 assign builder_csrbank1_crc_errors_w = main_crc_errors_status[31:0];
2248 assign main_crc_errors_we = builder_csrbank1_crc_errors_we;
2249 assign builder_csrbank2_sel = (builder_interface2_bank_bus_adr[13:9] == 1'd1);
2250 assign builder_csrbank2_crg_reset0_r = builder_interface2_bank_bus_dat_w[0];
2251 assign builder_csrbank2_crg_reset0_re = ((builder_csrbank2_sel & builder_interface2_bank_bus_we) & (builder_interface2_bank_bus_adr[1:0] == 1'd0));
2252 assign builder_csrbank2_crg_reset0_we = ((builder_csrbank2_sel & (~builder_interface2_bank_bus_we)) & (builder_interface2_bank_bus_adr[1:0] == 1'd0));
2253 assign builder_csrbank2_mdio_w0_r = builder_interface2_bank_bus_dat_w[2:0];
2254 assign builder_csrbank2_mdio_w0_re = ((builder_csrbank2_sel & builder_interface2_bank_bus_we) & (builder_interface2_bank_bus_adr[1:0] == 1'd1));
2255 assign builder_csrbank2_mdio_w0_we = ((builder_csrbank2_sel & (~builder_interface2_bank_bus_we)) & (builder_interface2_bank_bus_adr[1:0] == 1'd1));
2256 assign builder_csrbank2_mdio_r_r = builder_interface2_bank_bus_dat_w[0];
2257 assign builder_csrbank2_mdio_r_re = ((builder_csrbank2_sel & builder_interface2_bank_bus_we) & (builder_interface2_bank_bus_adr[1:0] == 2'd2));
2258 assign builder_csrbank2_mdio_r_we = ((builder_csrbank2_sel & (~builder_interface2_bank_bus_we)) & (builder_interface2_bank_bus_adr[1:0] == 2'd2));
2259 assign builder_csrbank2_crg_reset0_w = main_maccore_ethphy_reset_storage;
2260 assign main_maccore_ethphy_mdc = main_maccore_ethphy_storage[0];
2261 assign main_maccore_ethphy_oe = main_maccore_ethphy_storage[1];
2262 assign main_maccore_ethphy_w = main_maccore_ethphy_storage[2];
2263 assign builder_csrbank2_mdio_w0_w = main_maccore_ethphy_storage[2:0];
2264 assign builder_csrbank2_mdio_r_w = main_maccore_ethphy_status;
2265 assign main_maccore_ethphy_we = builder_csrbank2_mdio_r_we;
2266 assign builder_adr = main_maccore_maccore_adr;
2267 assign builder_we = main_maccore_maccore_we;
2268 assign builder_dat_w = main_maccore_maccore_dat_w;
2269 assign main_maccore_maccore_dat_r = builder_dat_r;
2270 assign builder_interface0_bank_bus_adr = builder_adr;
2271 assign builder_interface1_bank_bus_adr = builder_adr;
2272 assign builder_interface2_bank_bus_adr = builder_adr;
2273 assign builder_interface0_bank_bus_we = builder_we;
2274 assign builder_interface1_bank_bus_we = builder_we;
2275 assign builder_interface2_bank_bus_we = builder_we;
2276 assign builder_interface0_bank_bus_dat_w = builder_dat_w;
2277 assign builder_interface1_bank_bus_dat_w = builder_dat_w;
2278 assign builder_interface2_bank_bus_dat_w = builder_dat_w;
2279 assign builder_dat_r = ((builder_interface0_bank_bus_dat_r | builder_interface1_bank_bus_dat_r) | builder_interface2_bank_bus_dat_r);
2280 always @(*) begin
2281 builder_array_muxed0 <= 30'd0;
2282 case (builder_grant)
2283 default: begin
2284 builder_array_muxed0 <= wishbone_adr;
2285 end
2286 endcase
2287 end
2288 always @(*) begin
2289 builder_array_muxed1 <= 32'd0;
2290 case (builder_grant)
2291 default: begin
2292 builder_array_muxed1 <= wishbone_dat_w;
2293 end
2294 endcase
2295 end
2296 always @(*) begin
2297 builder_array_muxed2 <= 4'd0;
2298 case (builder_grant)
2299 default: begin
2300 builder_array_muxed2 <= wishbone_sel;
2301 end
2302 endcase
2303 end
2304 always @(*) begin
2305 builder_array_muxed3 <= 1'd0;
2306 case (builder_grant)
2307 default: begin
2308 builder_array_muxed3 <= wishbone_cyc;
2309 end
2310 endcase
2311 end
2312 always @(*) begin
2313 builder_array_muxed4 <= 1'd0;
2314 case (builder_grant)
2315 default: begin
2316 builder_array_muxed4 <= wishbone_stb;
2317 end
2318 endcase
2319 end
2320 always @(*) begin
2321 builder_array_muxed5 <= 1'd0;
2322 case (builder_grant)
2323 default: begin
2324 builder_array_muxed5 <= wishbone_we;
2325 end
2326 endcase
2327 end
2328 always @(*) begin
2329 builder_array_muxed6 <= 3'd0;
2330 case (builder_grant)
2331 default: begin
2332 builder_array_muxed6 <= wishbone_cti;
2333 end
2334 endcase
2335 end
2336 always @(*) begin
2337 builder_array_muxed7 <= 2'd0;
2338 case (builder_grant)
2339 default: begin
2340 builder_array_muxed7 <= wishbone_bte;
2341 end
2342 endcase
2343 end
2344 always @(*) begin
2345 main_maccore_ethphy_status <= 1'd0;
2346 main_maccore_ethphy_status <= main_maccore_ethphy_r;
2347 main_maccore_ethphy_status <= builder_xilinxmultiregimpl0_regs1;
2348 end
2349 assign main_ps_preamble_error_toggle_o = builder_xilinxmultiregimpl1_regs1;
2350 assign main_ps_crc_error_toggle_o = builder_xilinxmultiregimpl2_regs1;
2351 assign main_tx_cdc_produce_rdomain = builder_xilinxmultiregimpl3_regs1;
2352 assign main_tx_cdc_consume_wdomain = builder_xilinxmultiregimpl4_regs1;
2353 assign main_rx_cdc_produce_rdomain = builder_xilinxmultiregimpl5_regs1;
2354 assign main_rx_cdc_consume_wdomain = builder_xilinxmultiregimpl6_regs1;
2355
2356 always @(posedge eth_rx_clk) begin
2357 main_maccore_ethphy_liteethphymiirx_converter_reset <= (~mii_eth_rx_dv);
2358 main_maccore_ethphy_liteethphymiirx_converter_sink_valid <= 1'd1;
2359 main_maccore_ethphy_liteethphymiirx_converter_sink_payload_data <= mii_eth_rx_data;
2360 if (main_maccore_ethphy_liteethphymiirx_converter_converter_source_ready) begin
2361 main_maccore_ethphy_liteethphymiirx_converter_converter_strobe_all <= 1'd0;
2362 end
2363 if (main_maccore_ethphy_liteethphymiirx_converter_converter_load_part) begin
2364 if (((main_maccore_ethphy_liteethphymiirx_converter_converter_demux == 1'd1) | main_maccore_ethphy_liteethphymiirx_converter_converter_sink_last)) begin
2365 main_maccore_ethphy_liteethphymiirx_converter_converter_demux <= 1'd0;
2366 main_maccore_ethphy_liteethphymiirx_converter_converter_strobe_all <= 1'd1;
2367 end else begin
2368 main_maccore_ethphy_liteethphymiirx_converter_converter_demux <= (main_maccore_ethphy_liteethphymiirx_converter_converter_demux + 1'd1);
2369 end
2370 end
2371 if ((main_maccore_ethphy_liteethphymiirx_converter_converter_source_valid & main_maccore_ethphy_liteethphymiirx_converter_converter_source_ready)) begin
2372 if ((main_maccore_ethphy_liteethphymiirx_converter_converter_sink_valid & main_maccore_ethphy_liteethphymiirx_converter_converter_sink_ready)) begin
2373 main_maccore_ethphy_liteethphymiirx_converter_converter_source_first <= main_maccore_ethphy_liteethphymiirx_converter_converter_sink_first;
2374 main_maccore_ethphy_liteethphymiirx_converter_converter_source_last <= main_maccore_ethphy_liteethphymiirx_converter_converter_sink_last;
2375 end else begin
2376 main_maccore_ethphy_liteethphymiirx_converter_converter_source_first <= 1'd0;
2377 main_maccore_ethphy_liteethphymiirx_converter_converter_source_last <= 1'd0;
2378 end
2379 end else begin
2380 if ((main_maccore_ethphy_liteethphymiirx_converter_converter_sink_valid & main_maccore_ethphy_liteethphymiirx_converter_converter_sink_ready)) begin
2381 main_maccore_ethphy_liteethphymiirx_converter_converter_source_first <= (main_maccore_ethphy_liteethphymiirx_converter_converter_sink_first | main_maccore_ethphy_liteethphymiirx_converter_converter_source_first);
2382 main_maccore_ethphy_liteethphymiirx_converter_converter_source_last <= (main_maccore_ethphy_liteethphymiirx_converter_converter_sink_last | main_maccore_ethphy_liteethphymiirx_converter_converter_source_last);
2383 end
2384 end
2385 if (main_maccore_ethphy_liteethphymiirx_converter_converter_load_part) begin
2386 case (main_maccore_ethphy_liteethphymiirx_converter_converter_demux)
2387 1'd0: begin
2388 main_maccore_ethphy_liteethphymiirx_converter_converter_source_payload_data[3:0] <= main_maccore_ethphy_liteethphymiirx_converter_converter_sink_payload_data;
2389 end
2390 1'd1: begin
2391 main_maccore_ethphy_liteethphymiirx_converter_converter_source_payload_data[7:4] <= main_maccore_ethphy_liteethphymiirx_converter_converter_sink_payload_data;
2392 end
2393 endcase
2394 end
2395 if (main_maccore_ethphy_liteethphymiirx_converter_converter_load_part) begin
2396 main_maccore_ethphy_liteethphymiirx_converter_converter_source_payload_valid_token_count <= (main_maccore_ethphy_liteethphymiirx_converter_converter_demux + 1'd1);
2397 end
2398 if (main_maccore_ethphy_liteethphymiirx_converter_reset) begin
2399 main_maccore_ethphy_liteethphymiirx_converter_converter_demux <= 1'd0;
2400 main_maccore_ethphy_liteethphymiirx_converter_converter_strobe_all <= 1'd0;
2401 end
2402 builder_liteethmacpreamblechecker_state <= builder_liteethmacpreamblechecker_next_state;
2403 if (main_crc32_checker_crc_ce) begin
2404 main_crc32_checker_crc_reg <= main_crc32_checker_crc_next;
2405 end
2406 if (main_crc32_checker_crc_reset) begin
2407 main_crc32_checker_crc_reg <= 32'd4294967295;
2408 end
2409 if (((main_crc32_checker_syncfifo_syncfifo_we & main_crc32_checker_syncfifo_syncfifo_writable) & (~main_crc32_checker_syncfifo_replace))) begin
2410 if ((main_crc32_checker_syncfifo_produce == 3'd4)) begin
2411 main_crc32_checker_syncfifo_produce <= 1'd0;
2412 end else begin
2413 main_crc32_checker_syncfifo_produce <= (main_crc32_checker_syncfifo_produce + 1'd1);
2414 end
2415 end
2416 if (main_crc32_checker_syncfifo_do_read) begin
2417 if ((main_crc32_checker_syncfifo_consume == 3'd4)) begin
2418 main_crc32_checker_syncfifo_consume <= 1'd0;
2419 end else begin
2420 main_crc32_checker_syncfifo_consume <= (main_crc32_checker_syncfifo_consume + 1'd1);
2421 end
2422 end
2423 if (((main_crc32_checker_syncfifo_syncfifo_we & main_crc32_checker_syncfifo_syncfifo_writable) & (~main_crc32_checker_syncfifo_replace))) begin
2424 if ((~main_crc32_checker_syncfifo_do_read)) begin
2425 main_crc32_checker_syncfifo_level <= (main_crc32_checker_syncfifo_level + 1'd1);
2426 end
2427 end else begin
2428 if (main_crc32_checker_syncfifo_do_read) begin
2429 main_crc32_checker_syncfifo_level <= (main_crc32_checker_syncfifo_level - 1'd1);
2430 end
2431 end
2432 if (main_crc32_checker_fifo_reset) begin
2433 main_crc32_checker_syncfifo_level <= 3'd0;
2434 main_crc32_checker_syncfifo_produce <= 3'd0;
2435 main_crc32_checker_syncfifo_consume <= 3'd0;
2436 end
2437 builder_liteethmaccrc32checker_state <= builder_liteethmaccrc32checker_next_state;
2438 if (main_ps_preamble_error_i) begin
2439 main_ps_preamble_error_toggle_i <= (~main_ps_preamble_error_toggle_i);
2440 end
2441 if (main_ps_crc_error_i) begin
2442 main_ps_crc_error_toggle_i <= (~main_ps_crc_error_toggle_i);
2443 end
2444 if (main_rx_converter_converter_source_ready) begin
2445 main_rx_converter_converter_strobe_all <= 1'd0;
2446 end
2447 if (main_rx_converter_converter_load_part) begin
2448 if (((main_rx_converter_converter_demux == 2'd3) | main_rx_converter_converter_sink_last)) begin
2449 main_rx_converter_converter_demux <= 1'd0;
2450 main_rx_converter_converter_strobe_all <= 1'd1;
2451 end else begin
2452 main_rx_converter_converter_demux <= (main_rx_converter_converter_demux + 1'd1);
2453 end
2454 end
2455 if ((main_rx_converter_converter_source_valid & main_rx_converter_converter_source_ready)) begin
2456 if ((main_rx_converter_converter_sink_valid & main_rx_converter_converter_sink_ready)) begin
2457 main_rx_converter_converter_source_first <= main_rx_converter_converter_sink_first;
2458 main_rx_converter_converter_source_last <= main_rx_converter_converter_sink_last;
2459 end else begin
2460 main_rx_converter_converter_source_first <= 1'd0;
2461 main_rx_converter_converter_source_last <= 1'd0;
2462 end
2463 end else begin
2464 if ((main_rx_converter_converter_sink_valid & main_rx_converter_converter_sink_ready)) begin
2465 main_rx_converter_converter_source_first <= (main_rx_converter_converter_sink_first | main_rx_converter_converter_source_first);
2466 main_rx_converter_converter_source_last <= (main_rx_converter_converter_sink_last | main_rx_converter_converter_source_last);
2467 end
2468 end
2469 if (main_rx_converter_converter_load_part) begin
2470 case (main_rx_converter_converter_demux)
2471 1'd0: begin
2472 main_rx_converter_converter_source_payload_data[9:0] <= main_rx_converter_converter_sink_payload_data;
2473 end
2474 1'd1: begin
2475 main_rx_converter_converter_source_payload_data[19:10] <= main_rx_converter_converter_sink_payload_data;
2476 end
2477 2'd2: begin
2478 main_rx_converter_converter_source_payload_data[29:20] <= main_rx_converter_converter_sink_payload_data;
2479 end
2480 2'd3: begin
2481 main_rx_converter_converter_source_payload_data[39:30] <= main_rx_converter_converter_sink_payload_data;
2482 end
2483 endcase
2484 end
2485 if (main_rx_converter_converter_load_part) begin
2486 main_rx_converter_converter_source_payload_valid_token_count <= (main_rx_converter_converter_demux + 1'd1);
2487 end
2488 main_rx_cdc_graycounter0_q_binary <= main_rx_cdc_graycounter0_q_next_binary;
2489 main_rx_cdc_graycounter0_q <= main_rx_cdc_graycounter0_q_next;
2490 if (eth_rx_rst) begin
2491 main_maccore_ethphy_liteethphymiirx_converter_sink_valid <= 1'd0;
2492 main_maccore_ethphy_liteethphymiirx_converter_converter_demux <= 1'd0;
2493 main_maccore_ethphy_liteethphymiirx_converter_converter_strobe_all <= 1'd0;
2494 main_maccore_ethphy_liteethphymiirx_converter_reset <= 1'd0;
2495 main_crc32_checker_crc_reg <= 32'd4294967295;
2496 main_crc32_checker_syncfifo_level <= 3'd0;
2497 main_crc32_checker_syncfifo_produce <= 3'd0;
2498 main_crc32_checker_syncfifo_consume <= 3'd0;
2499 main_rx_converter_converter_demux <= 2'd0;
2500 main_rx_converter_converter_strobe_all <= 1'd0;
2501 main_rx_cdc_graycounter0_q <= 7'd0;
2502 main_rx_cdc_graycounter0_q_binary <= 7'd0;
2503 builder_liteethmacpreamblechecker_state <= 1'd0;
2504 builder_liteethmaccrc32checker_state <= 2'd0;
2505 end
2506 builder_xilinxmultiregimpl6_regs0 <= main_rx_cdc_graycounter1_q;
2507 builder_xilinxmultiregimpl6_regs1 <= builder_xilinxmultiregimpl6_regs0;
2508 end
2509
2510 always @(posedge eth_tx_clk) begin
2511 mii_eth_tx_en <= main_maccore_ethphy_liteethphymiitx_converter_source_valid;
2512 mii_eth_tx_data <= main_maccore_ethphy_liteethphymiitx_converter_source_payload_data;
2513 if ((main_maccore_ethphy_liteethphymiitx_converter_converter_source_valid & main_maccore_ethphy_liteethphymiitx_converter_converter_source_ready)) begin
2514 if (main_maccore_ethphy_liteethphymiitx_converter_converter_last) begin
2515 main_maccore_ethphy_liteethphymiitx_converter_converter_mux <= 1'd0;
2516 end else begin
2517 main_maccore_ethphy_liteethphymiitx_converter_converter_mux <= (main_maccore_ethphy_liteethphymiitx_converter_converter_mux + 1'd1);
2518 end
2519 end
2520 if (main_tx_gap_inserter_counter_reset) begin
2521 main_tx_gap_inserter_counter <= 1'd0;
2522 end else begin
2523 if (main_tx_gap_inserter_counter_ce) begin
2524 main_tx_gap_inserter_counter <= (main_tx_gap_inserter_counter + 1'd1);
2525 end
2526 end
2527 builder_liteethmacgap_state <= builder_liteethmacgap_next_state;
2528 if (main_preamble_inserter_clr_cnt) begin
2529 main_preamble_inserter_cnt <= 1'd0;
2530 end else begin
2531 if (main_preamble_inserter_inc_cnt) begin
2532 main_preamble_inserter_cnt <= (main_preamble_inserter_cnt + 1'd1);
2533 end
2534 end
2535 builder_liteethmacpreambleinserter_state <= builder_liteethmacpreambleinserter_next_state;
2536 if (main_crc32_inserter_is_ongoing0) begin
2537 main_crc32_inserter_cnt <= 2'd3;
2538 end else begin
2539 if ((main_crc32_inserter_is_ongoing1 & (~main_crc32_inserter_cnt_done))) begin
2540 main_crc32_inserter_cnt <= (main_crc32_inserter_cnt - main_crc32_inserter_source_ready);
2541 end
2542 end
2543 if (main_crc32_inserter_ce) begin
2544 main_crc32_inserter_reg <= main_crc32_inserter_next;
2545 end
2546 if (main_crc32_inserter_reset) begin
2547 main_crc32_inserter_reg <= 32'd4294967295;
2548 end
2549 builder_liteethmaccrc32inserter_state <= builder_liteethmaccrc32inserter_next_state;
2550 if (main_padding_inserter_counter_reset) begin
2551 main_padding_inserter_counter <= 1'd0;
2552 end else begin
2553 if (main_padding_inserter_counter_ce) begin
2554 main_padding_inserter_counter <= (main_padding_inserter_counter + 1'd1);
2555 end
2556 end
2557 builder_liteethmacpaddinginserter_state <= builder_liteethmacpaddinginserter_next_state;
2558 if ((main_tx_last_be_sink_valid & main_tx_last_be_sink_ready)) begin
2559 if (main_tx_last_be_sink_last) begin
2560 main_tx_last_be_ongoing <= 1'd1;
2561 end else begin
2562 if (main_tx_last_be_sink_payload_last_be) begin
2563 main_tx_last_be_ongoing <= 1'd0;
2564 end
2565 end
2566 end
2567 if ((main_tx_converter_converter_source_valid & main_tx_converter_converter_source_ready)) begin
2568 if (main_tx_converter_converter_last) begin
2569 main_tx_converter_converter_mux <= 1'd0;
2570 end else begin
2571 main_tx_converter_converter_mux <= (main_tx_converter_converter_mux + 1'd1);
2572 end
2573 end
2574 main_tx_cdc_graycounter1_q_binary <= main_tx_cdc_graycounter1_q_next_binary;
2575 main_tx_cdc_graycounter1_q <= main_tx_cdc_graycounter1_q_next;
2576 if (eth_tx_rst) begin
2577 main_maccore_ethphy_liteethphymiitx_converter_converter_mux <= 1'd0;
2578 main_crc32_inserter_reg <= 32'd4294967295;
2579 main_crc32_inserter_cnt <= 2'd3;
2580 main_padding_inserter_counter <= 16'd1;
2581 main_tx_last_be_ongoing <= 1'd1;
2582 main_tx_converter_converter_mux <= 2'd0;
2583 main_tx_cdc_graycounter1_q <= 7'd0;
2584 main_tx_cdc_graycounter1_q_binary <= 7'd0;
2585 builder_liteethmacgap_state <= 1'd0;
2586 builder_liteethmacpreambleinserter_state <= 2'd0;
2587 builder_liteethmaccrc32inserter_state <= 2'd0;
2588 builder_liteethmacpaddinginserter_state <= 1'd0;
2589 end
2590 builder_xilinxmultiregimpl3_regs0 <= main_tx_cdc_graycounter0_q;
2591 builder_xilinxmultiregimpl3_regs1 <= builder_xilinxmultiregimpl3_regs0;
2592 end
2593
2594 always @(posedge por_clk) begin
2595 main_maccore_int_rst <= sys_reset;
2596 end
2597
2598 always @(posedge sys_clk) begin
2599 if ((main_maccore_maccore_bus_errors != 32'd4294967295)) begin
2600 if (main_maccore_maccore_bus_error) begin
2601 main_maccore_maccore_bus_errors <= (main_maccore_maccore_bus_errors + 1'd1);
2602 end
2603 end
2604 builder_state <= builder_next_state;
2605 if (main_maccore_ethphy_counter_ce) begin
2606 main_maccore_ethphy_counter <= (main_maccore_ethphy_counter + 1'd1);
2607 end
2608 if (main_ps_preamble_error_o) begin
2609 main_preamble_errors_status <= (main_preamble_errors_status + 1'd1);
2610 end
2611 if (main_ps_crc_error_o) begin
2612 main_crc_errors_status <= (main_crc_errors_status + 1'd1);
2613 end
2614 main_ps_preamble_error_toggle_o_r <= main_ps_preamble_error_toggle_o;
2615 main_ps_crc_error_toggle_o_r <= main_ps_crc_error_toggle_o;
2616 main_tx_cdc_graycounter0_q_binary <= main_tx_cdc_graycounter0_q_next_binary;
2617 main_tx_cdc_graycounter0_q <= main_tx_cdc_graycounter0_q_next;
2618 main_rx_cdc_graycounter1_q_binary <= main_rx_cdc_graycounter1_q_next_binary;
2619 main_rx_cdc_graycounter1_q <= main_rx_cdc_graycounter1_q_next;
2620 if (main_writer_slot_ce) begin
2621 main_writer_slot <= (main_writer_slot + 1'd1);
2622 end
2623 if (((main_writer_fifo_syncfifo_we & main_writer_fifo_syncfifo_writable) & (~main_writer_fifo_replace))) begin
2624 main_writer_fifo_produce <= (main_writer_fifo_produce + 1'd1);
2625 end
2626 if (main_writer_fifo_do_read) begin
2627 main_writer_fifo_consume <= (main_writer_fifo_consume + 1'd1);
2628 end
2629 if (((main_writer_fifo_syncfifo_we & main_writer_fifo_syncfifo_writable) & (~main_writer_fifo_replace))) begin
2630 if ((~main_writer_fifo_do_read)) begin
2631 main_writer_fifo_level <= (main_writer_fifo_level + 1'd1);
2632 end
2633 end else begin
2634 if (main_writer_fifo_do_read) begin
2635 main_writer_fifo_level <= (main_writer_fifo_level - 1'd1);
2636 end
2637 end
2638 builder_liteethmacsramwriter_state <= builder_liteethmacsramwriter_next_state;
2639 if (main_writer_counter_t_next_value_ce) begin
2640 main_writer_counter <= main_writer_counter_t_next_value;
2641 end
2642 if (main_writer_errors_status_f_next_value_ce) begin
2643 main_writer_errors_status <= main_writer_errors_status_f_next_value;
2644 end
2645 if (main_reader_done_clear) begin
2646 main_reader_done_pending <= 1'd0;
2647 end
2648 if (main_reader_done_trigger) begin
2649 main_reader_done_pending <= 1'd1;
2650 end
2651 if (((main_reader_fifo_syncfifo_we & main_reader_fifo_syncfifo_writable) & (~main_reader_fifo_replace))) begin
2652 main_reader_fifo_produce <= (main_reader_fifo_produce + 1'd1);
2653 end
2654 if (main_reader_fifo_do_read) begin
2655 main_reader_fifo_consume <= (main_reader_fifo_consume + 1'd1);
2656 end
2657 if (((main_reader_fifo_syncfifo_we & main_reader_fifo_syncfifo_writable) & (~main_reader_fifo_replace))) begin
2658 if ((~main_reader_fifo_do_read)) begin
2659 main_reader_fifo_level <= (main_reader_fifo_level + 1'd1);
2660 end
2661 end else begin
2662 if (main_reader_fifo_do_read) begin
2663 main_reader_fifo_level <= (main_reader_fifo_level - 1'd1);
2664 end
2665 end
2666 builder_liteethmacsramreader_state <= builder_liteethmacsramreader_next_state;
2667 if (main_reader_counter_next_value_ce) begin
2668 main_reader_counter <= main_reader_counter_next_value;
2669 end
2670 main_sram0_bus_ack0 <= 1'd0;
2671 if (((main_sram0_bus_cyc0 & main_sram0_bus_stb0) & (~main_sram0_bus_ack0))) begin
2672 main_sram0_bus_ack0 <= 1'd1;
2673 end
2674 main_sram1_bus_ack0 <= 1'd0;
2675 if (((main_sram1_bus_cyc0 & main_sram1_bus_stb0) & (~main_sram1_bus_ack0))) begin
2676 main_sram1_bus_ack0 <= 1'd1;
2677 end
2678 main_sram0_bus_ack1 <= 1'd0;
2679 if (((main_sram0_bus_cyc1 & main_sram0_bus_stb1) & (~main_sram0_bus_ack1))) begin
2680 main_sram0_bus_ack1 <= 1'd1;
2681 end
2682 main_sram1_bus_ack1 <= 1'd0;
2683 if (((main_sram1_bus_cyc1 & main_sram1_bus_stb1) & (~main_sram1_bus_ack1))) begin
2684 main_sram1_bus_ack1 <= 1'd1;
2685 end
2686 main_slave_sel_r <= main_slave_sel;
2687 builder_slave_sel_r <= builder_slave_sel;
2688 if (builder_wait) begin
2689 if ((~builder_done)) begin
2690 builder_count <= (builder_count - 1'd1);
2691 end
2692 end else begin
2693 builder_count <= 20'd1000000;
2694 end
2695 builder_interface0_bank_bus_dat_r <= 1'd0;
2696 if (builder_csrbank0_sel) begin
2697 case (builder_interface0_bank_bus_adr[1:0])
2698 1'd0: begin
2699 builder_interface0_bank_bus_dat_r <= builder_csrbank0_reset0_w;
2700 end
2701 1'd1: begin
2702 builder_interface0_bank_bus_dat_r <= builder_csrbank0_scratch0_w;
2703 end
2704 2'd2: begin
2705 builder_interface0_bank_bus_dat_r <= builder_csrbank0_bus_errors_w;
2706 end
2707 endcase
2708 end
2709 if (builder_csrbank0_reset0_re) begin
2710 main_maccore_maccore_reset_storage <= builder_csrbank0_reset0_r;
2711 end
2712 main_maccore_maccore_reset_re <= builder_csrbank0_reset0_re;
2713 if (builder_csrbank0_scratch0_re) begin
2714 main_maccore_maccore_scratch_storage[31:0] <= builder_csrbank0_scratch0_r;
2715 end
2716 main_maccore_maccore_scratch_re <= builder_csrbank0_scratch0_re;
2717 builder_interface1_bank_bus_dat_r <= 1'd0;
2718 if (builder_csrbank1_sel) begin
2719 case (builder_interface1_bank_bus_adr[4:0])
2720 1'd0: begin
2721 builder_interface1_bank_bus_dat_r <= builder_csrbank1_sram_writer_slot_w;
2722 end
2723 1'd1: begin
2724 builder_interface1_bank_bus_dat_r <= builder_csrbank1_sram_writer_length_w;
2725 end
2726 2'd2: begin
2727 builder_interface1_bank_bus_dat_r <= builder_csrbank1_sram_writer_errors_w;
2728 end
2729 2'd3: begin
2730 builder_interface1_bank_bus_dat_r <= main_writer_status_w;
2731 end
2732 3'd4: begin
2733 builder_interface1_bank_bus_dat_r <= main_writer_pending_w;
2734 end
2735 3'd5: begin
2736 builder_interface1_bank_bus_dat_r <= builder_csrbank1_sram_writer_ev_enable0_w;
2737 end
2738 3'd6: begin
2739 builder_interface1_bank_bus_dat_r <= main_reader_start_w;
2740 end
2741 3'd7: begin
2742 builder_interface1_bank_bus_dat_r <= builder_csrbank1_sram_reader_ready_w;
2743 end
2744 4'd8: begin
2745 builder_interface1_bank_bus_dat_r <= builder_csrbank1_sram_reader_level_w;
2746 end
2747 4'd9: begin
2748 builder_interface1_bank_bus_dat_r <= builder_csrbank1_sram_reader_slot0_w;
2749 end
2750 4'd10: begin
2751 builder_interface1_bank_bus_dat_r <= builder_csrbank1_sram_reader_length0_w;
2752 end
2753 4'd11: begin
2754 builder_interface1_bank_bus_dat_r <= main_reader_eventmanager_status_w;
2755 end
2756 4'd12: begin
2757 builder_interface1_bank_bus_dat_r <= main_reader_eventmanager_pending_w;
2758 end
2759 4'd13: begin
2760 builder_interface1_bank_bus_dat_r <= builder_csrbank1_sram_reader_ev_enable0_w;
2761 end
2762 4'd14: begin
2763 builder_interface1_bank_bus_dat_r <= builder_csrbank1_preamble_crc_w;
2764 end
2765 4'd15: begin
2766 builder_interface1_bank_bus_dat_r <= builder_csrbank1_preamble_errors_w;
2767 end
2768 5'd16: begin
2769 builder_interface1_bank_bus_dat_r <= builder_csrbank1_crc_errors_w;
2770 end
2771 endcase
2772 end
2773 if (builder_csrbank1_sram_writer_ev_enable0_re) begin
2774 main_writer_storage <= builder_csrbank1_sram_writer_ev_enable0_r;
2775 end
2776 main_writer_re <= builder_csrbank1_sram_writer_ev_enable0_re;
2777 if (builder_csrbank1_sram_reader_slot0_re) begin
2778 main_reader_slot_storage <= builder_csrbank1_sram_reader_slot0_r;
2779 end
2780 main_reader_slot_re <= builder_csrbank1_sram_reader_slot0_re;
2781 if (builder_csrbank1_sram_reader_length0_re) begin
2782 main_reader_length_storage[10:0] <= builder_csrbank1_sram_reader_length0_r;
2783 end
2784 main_reader_length_re <= builder_csrbank1_sram_reader_length0_re;
2785 if (builder_csrbank1_sram_reader_ev_enable0_re) begin
2786 main_reader_eventmanager_storage <= builder_csrbank1_sram_reader_ev_enable0_r;
2787 end
2788 main_reader_eventmanager_re <= builder_csrbank1_sram_reader_ev_enable0_re;
2789 builder_interface2_bank_bus_dat_r <= 1'd0;
2790 if (builder_csrbank2_sel) begin
2791 case (builder_interface2_bank_bus_adr[1:0])
2792 1'd0: begin
2793 builder_interface2_bank_bus_dat_r <= builder_csrbank2_crg_reset0_w;
2794 end
2795 1'd1: begin
2796 builder_interface2_bank_bus_dat_r <= builder_csrbank2_mdio_w0_w;
2797 end
2798 2'd2: begin
2799 builder_interface2_bank_bus_dat_r <= builder_csrbank2_mdio_r_w;
2800 end
2801 endcase
2802 end
2803 if (builder_csrbank2_crg_reset0_re) begin
2804 main_maccore_ethphy_reset_storage <= builder_csrbank2_crg_reset0_r;
2805 end
2806 main_maccore_ethphy_reset_re <= builder_csrbank2_crg_reset0_re;
2807 if (builder_csrbank2_mdio_w0_re) begin
2808 main_maccore_ethphy_storage[2:0] <= builder_csrbank2_mdio_w0_r;
2809 end
2810 main_maccore_ethphy_re <= builder_csrbank2_mdio_w0_re;
2811 if (sys_rst) begin
2812 main_maccore_maccore_reset_storage <= 1'd0;
2813 main_maccore_maccore_reset_re <= 1'd0;
2814 main_maccore_maccore_scratch_storage <= 32'd305419896;
2815 main_maccore_maccore_scratch_re <= 1'd0;
2816 main_maccore_maccore_bus_errors <= 32'd0;
2817 main_maccore_ethphy_reset_storage <= 1'd0;
2818 main_maccore_ethphy_reset_re <= 1'd0;
2819 main_maccore_ethphy_counter <= 9'd0;
2820 main_maccore_ethphy_storage <= 3'd0;
2821 main_maccore_ethphy_re <= 1'd0;
2822 main_preamble_errors_status <= 32'd0;
2823 main_crc_errors_status <= 32'd0;
2824 main_tx_cdc_graycounter0_q <= 7'd0;
2825 main_tx_cdc_graycounter0_q_binary <= 7'd0;
2826 main_rx_cdc_graycounter1_q <= 7'd0;
2827 main_rx_cdc_graycounter1_q_binary <= 7'd0;
2828 main_writer_errors_status <= 32'd0;
2829 main_writer_storage <= 1'd0;
2830 main_writer_re <= 1'd0;
2831 main_writer_counter <= 32'd0;
2832 main_writer_slot <= 1'd0;
2833 main_writer_fifo_level <= 2'd0;
2834 main_writer_fifo_produce <= 1'd0;
2835 main_writer_fifo_consume <= 1'd0;
2836 main_reader_slot_re <= 1'd0;
2837 main_reader_length_re <= 1'd0;
2838 main_reader_done_pending <= 1'd0;
2839 main_reader_eventmanager_storage <= 1'd0;
2840 main_reader_eventmanager_re <= 1'd0;
2841 main_reader_fifo_level <= 2'd0;
2842 main_reader_fifo_produce <= 1'd0;
2843 main_reader_fifo_consume <= 1'd0;
2844 main_reader_counter <= 11'd0;
2845 main_sram0_bus_ack0 <= 1'd0;
2846 main_sram1_bus_ack0 <= 1'd0;
2847 main_sram0_bus_ack1 <= 1'd0;
2848 main_sram1_bus_ack1 <= 1'd0;
2849 main_slave_sel_r <= 4'd0;
2850 builder_state <= 1'd0;
2851 builder_liteethmacsramwriter_state <= 3'd0;
2852 builder_liteethmacsramreader_state <= 2'd0;
2853 builder_slave_sel_r <= 2'd0;
2854 builder_count <= 20'd1000000;
2855 end
2856 builder_xilinxmultiregimpl0_regs0 <= main_maccore_ethphy_data_r;
2857 builder_xilinxmultiregimpl0_regs1 <= builder_xilinxmultiregimpl0_regs0;
2858 builder_xilinxmultiregimpl1_regs0 <= main_ps_preamble_error_toggle_i;
2859 builder_xilinxmultiregimpl1_regs1 <= builder_xilinxmultiregimpl1_regs0;
2860 builder_xilinxmultiregimpl2_regs0 <= main_ps_crc_error_toggle_i;
2861 builder_xilinxmultiregimpl2_regs1 <= builder_xilinxmultiregimpl2_regs0;
2862 builder_xilinxmultiregimpl4_regs0 <= main_tx_cdc_graycounter1_q;
2863 builder_xilinxmultiregimpl4_regs1 <= builder_xilinxmultiregimpl4_regs0;
2864 builder_xilinxmultiregimpl5_regs0 <= main_rx_cdc_graycounter0_q;
2865 builder_xilinxmultiregimpl5_regs1 <= builder_xilinxmultiregimpl5_regs0;
2866 end
2867
2868 assign mii_eth_mdio = main_maccore_ethphy_data_oe ? main_maccore_ethphy_data_w : 1'bz;
2869 assign main_maccore_ethphy_data_r = mii_eth_mdio;
2870
2871 reg [11:0] storage[0:4];
2872 reg [11:0] memdat;
2873 always @(posedge eth_rx_clk) begin
2874 if (main_crc32_checker_syncfifo_wrport_we)
2875 storage[main_crc32_checker_syncfifo_wrport_adr] <= main_crc32_checker_syncfifo_wrport_dat_w;
2876 memdat <= storage[main_crc32_checker_syncfifo_wrport_adr];
2877 end
2878
2879 always @(posedge eth_rx_clk) begin
2880 end
2881
2882 assign main_crc32_checker_syncfifo_wrport_dat_r = memdat;
2883 assign main_crc32_checker_syncfifo_rdport_dat_r = storage[main_crc32_checker_syncfifo_rdport_adr];
2884
2885 reg [41:0] storage_1[0:63];
2886 reg [5:0] memadr;
2887 reg [5:0] memadr_1;
2888 always @(posedge sys_clk) begin
2889 if (main_tx_cdc_wrport_we)
2890 storage_1[main_tx_cdc_wrport_adr] <= main_tx_cdc_wrport_dat_w;
2891 memadr <= main_tx_cdc_wrport_adr;
2892 end
2893
2894 always @(posedge eth_tx_clk) begin
2895 memadr_1 <= main_tx_cdc_rdport_adr;
2896 end
2897
2898 assign main_tx_cdc_wrport_dat_r = storage_1[memadr];
2899 assign main_tx_cdc_rdport_dat_r = storage_1[memadr_1];
2900
2901 reg [41:0] storage_2[0:63];
2902 reg [5:0] memadr_2;
2903 reg [5:0] memadr_3;
2904 always @(posedge eth_rx_clk) begin
2905 if (main_rx_cdc_wrport_we)
2906 storage_2[main_rx_cdc_wrport_adr] <= main_rx_cdc_wrport_dat_w;
2907 memadr_2 <= main_rx_cdc_wrport_adr;
2908 end
2909
2910 always @(posedge sys_clk) begin
2911 memadr_3 <= main_rx_cdc_rdport_adr;
2912 end
2913
2914 assign main_rx_cdc_wrport_dat_r = storage_2[memadr_2];
2915 assign main_rx_cdc_rdport_dat_r = storage_2[memadr_3];
2916
2917 reg [34:0] storage_3[0:1];
2918 reg [34:0] memdat_1;
2919 always @(posedge sys_clk) begin
2920 if (main_writer_fifo_wrport_we)
2921 storage_3[main_writer_fifo_wrport_adr] <= main_writer_fifo_wrport_dat_w;
2922 memdat_1 <= storage_3[main_writer_fifo_wrport_adr];
2923 end
2924
2925 always @(posedge sys_clk) begin
2926 end
2927
2928 assign main_writer_fifo_wrport_dat_r = memdat_1;
2929 assign main_writer_fifo_rdport_dat_r = storage_3[main_writer_fifo_rdport_adr];
2930
2931 reg [31:0] mem[0:381];
2932 reg [8:0] memadr_4;
2933 reg [31:0] memdat_2;
2934 always @(posedge sys_clk) begin
2935 if (main_writer_memory0_we)
2936 mem[main_writer_memory0_adr] <= main_writer_memory0_dat_w;
2937 memadr_4 <= main_writer_memory0_adr;
2938 end
2939
2940 always @(posedge sys_clk) begin
2941 memdat_2 <= mem[main_sram0_adr0];
2942 end
2943
2944 assign main_writer_memory0_dat_r = mem[memadr_4];
2945 assign main_sram0_dat_r0 = memdat_2;
2946
2947 reg [31:0] mem_1[0:381];
2948 reg [8:0] memadr_5;
2949 reg [31:0] memdat_3;
2950 always @(posedge sys_clk) begin
2951 if (main_writer_memory1_we)
2952 mem_1[main_writer_memory1_adr] <= main_writer_memory1_dat_w;
2953 memadr_5 <= main_writer_memory1_adr;
2954 end
2955
2956 always @(posedge sys_clk) begin
2957 memdat_3 <= mem_1[main_sram1_adr0];
2958 end
2959
2960 assign main_writer_memory1_dat_r = mem_1[memadr_5];
2961 assign main_sram1_dat_r0 = memdat_3;
2962
2963 reg [13:0] storage_4[0:1];
2964 reg [13:0] memdat_4;
2965 always @(posedge sys_clk) begin
2966 if (main_reader_fifo_wrport_we)
2967 storage_4[main_reader_fifo_wrport_adr] <= main_reader_fifo_wrport_dat_w;
2968 memdat_4 <= storage_4[main_reader_fifo_wrport_adr];
2969 end
2970
2971 always @(posedge sys_clk) begin
2972 end
2973
2974 assign main_reader_fifo_wrport_dat_r = memdat_4;
2975 assign main_reader_fifo_rdport_dat_r = storage_4[main_reader_fifo_rdport_adr];
2976
2977 reg [31:0] mem_2[0:381];
2978 reg [8:0] memadr_6;
2979 always @(posedge sys_clk) begin
2980 end
2981
2982 always @(posedge sys_clk) begin
2983 if (main_sram0_we[0])
2984 mem_2[main_sram0_adr1][7:0] <= main_sram0_dat_w[7:0];
2985 if (main_sram0_we[1])
2986 mem_2[main_sram0_adr1][15:8] <= main_sram0_dat_w[15:8];
2987 if (main_sram0_we[2])
2988 mem_2[main_sram0_adr1][23:16] <= main_sram0_dat_w[23:16];
2989 if (main_sram0_we[3])
2990 mem_2[main_sram0_adr1][31:24] <= main_sram0_dat_w[31:24];
2991 memadr_6 <= main_sram0_adr1;
2992 end
2993
2994 assign main_reader_memory0_dat_r = mem_2[main_reader_memory0_adr];
2995 assign main_sram0_dat_r1 = mem_2[memadr_6];
2996
2997 reg [31:0] mem_3[0:381];
2998 reg [8:0] memadr_7;
2999 always @(posedge sys_clk) begin
3000 end
3001
3002 always @(posedge sys_clk) begin
3003 if (main_sram1_we[0])
3004 mem_3[main_sram1_adr1][7:0] <= main_sram1_dat_w[7:0];
3005 if (main_sram1_we[1])
3006 mem_3[main_sram1_adr1][15:8] <= main_sram1_dat_w[15:8];
3007 if (main_sram1_we[2])
3008 mem_3[main_sram1_adr1][23:16] <= main_sram1_dat_w[23:16];
3009 if (main_sram1_we[3])
3010 mem_3[main_sram1_adr1][31:24] <= main_sram1_dat_w[31:24];
3011 memadr_7 <= main_sram1_adr1;
3012 end
3013
3014 assign main_reader_memory1_dat_r = mem_3[main_reader_memory1_adr];
3015 assign main_sram1_dat_r1 = mem_3[memadr_7];
3016
3017 (* ars_ff1 = "true", async_reg = "true" *) FDPE #(
3018 .INIT(1'd1)
3019 ) FDPE (
3020 .C(eth_tx_clk),
3021 .CE(1'd1),
3022 .D(1'd0),
3023 .PRE(main_maccore_ethphy_reset0),
3024 .Q(builder_rst_meta0)
3025 );
3026
3027 (* ars_ff2 = "true", async_reg = "true" *) FDPE #(
3028 .INIT(1'd1)
3029 ) FDPE_1 (
3030 .C(eth_tx_clk),
3031 .CE(1'd1),
3032 .D(builder_rst_meta0),
3033 .PRE(main_maccore_ethphy_reset0),
3034 .Q(eth_tx_rst)
3035 );
3036
3037 (* ars_ff1 = "true", async_reg = "true" *) FDPE #(
3038 .INIT(1'd1)
3039 ) FDPE_2 (
3040 .C(eth_rx_clk),
3041 .CE(1'd1),
3042 .D(1'd0),
3043 .PRE(main_maccore_ethphy_reset0),
3044 .Q(builder_rst_meta1)
3045 );
3046
3047 (* ars_ff2 = "true", async_reg = "true" *) FDPE #(
3048 .INIT(1'd1)
3049 ) FDPE_3 (
3050 .C(eth_rx_clk),
3051 .CE(1'd1),
3052 .D(builder_rst_meta1),
3053 .PRE(main_maccore_ethphy_reset0),
3054 .Q(eth_rx_rst)
3055 );
3056
3057 endmodule