Merge pull request #1669 from thasti/pyosys-attrs
[yosys.git] / manual / APPNOTE_011_Design_Investigation / make.sh
1 #!/bin/bash
2 set -ex
3 if false; then
4 rm -f *.dot
5 ../../yosys example.ys
6 ../../yosys -p 'proc; opt; show -format dot -prefix splice' splice.v
7 ../../yosys -p 'techmap; abc -liberty ../../techlibs/cmos/cmos_cells.lib;; show -format dot -prefix cmos_00' cmos.v
8 ../../yosys -p 'techmap; splitnets -ports; abc -liberty ../../techlibs/cmos/cmos_cells.lib;; show -lib ../../techlibs/cmos/cmos_cells.v -format dot -prefix cmos_01' cmos.v
9 ../../yosys -p 'opt; cd sumprod; select a:sumstuff; show -format dot -prefix sumprod_00' sumprod.v
10 ../../yosys -p 'opt; cd sumprod; select a:sumstuff %x; show -format dot -prefix sumprod_01' sumprod.v
11 ../../yosys -p 'opt; cd sumprod; select prod; show -format dot -prefix sumprod_02' sumprod.v
12 ../../yosys -p 'opt; cd sumprod; select prod %ci; show -format dot -prefix sumprod_03' sumprod.v
13 ../../yosys -p 'opt; cd sumprod; select prod %ci2; show -format dot -prefix sumprod_04' sumprod.v
14 ../../yosys -p 'opt; cd sumprod; select prod %ci3; show -format dot -prefix sumprod_05' sumprod.v
15 ../../yosys -p 'proc; opt; memory; opt; cd memdemo; show -format dot -prefix memdemo_00' memdemo.v
16 ../../yosys -p 'proc; opt; memory; opt; cd memdemo; show -format dot -prefix memdemo_01 y %ci2:+$dff[Q,D] %ci*:-$mux[S]:-$dff' memdemo.v
17 ../../yosys submod.ys
18 sed -i '/^label=/ d;' *.dot
19 fi
20 for dot_file in *.dot; do
21 pdf_file=${dot_file%.dot}.pdf
22 dot -Tpdf -o $pdf_file $dot_file
23 done