Merge pull request #1681 from YosysHQ/eddie/fix1663
[yosys.git] / manual / CHAPTER_Overview.tex
1
2 \chapter{Implementation Overview}
3 \label{chapter:overview}
4
5 Yosys is an extensible open source hardware synthesis tool. It is aimed at
6 designers who are looking for an easily accessible, universal, and
7 vendor-independent synthesis tool, as well as scientists who do research in
8 electronic design automation (EDA) and are looking for an open synthesis
9 framework that can be used to test algorithms on complex real-world designs.
10
11 Yosys can synthesize a large subset of Verilog 2005 and has been tested with a
12 wide range of real-world designs, including the OpenRISC 1200 CPU
13 \citeweblink{OR1200}, the openMSP430 CPU \citeweblink{openMSP430}, the
14 OpenCores I$^2$C master \citeweblink{i2cmaster} and the k68 CPU \citeweblink{k68}.
15
16 As of this writing a Yosys VHDL frontend is in development.
17
18 Yosys is written in C++ (using some features from the new C++11 standard). This
19 chapter describes some of the fundamental Yosys data structures. For the sake
20 of simplicity the C++ type names used in the Yosys implementation are used in
21 this chapter, even though the chapter only explains the conceptual idea behind
22 it and can be used as reference to implement a similar system in any language.
23
24 \section{Simplified Data Flow}
25
26 Figure~\ref{fig:Overview_flow} shows the simplified data flow within Yosys.
27 Rectangles in the figure represent program modules and ellipses internal
28 data structures that are used to exchange design data between the program
29 modules.
30
31 Design data is read in using one of the frontend modules. The high-level HDL
32 frontends for Verilog and VHDL code generate an abstract syntax tree (AST) that
33 is then passed to the AST frontend. Note that both HDL frontends use the same
34 AST representation that is powerful enough to cover the Verilog HDL and VHDL
35 language.
36
37 The AST Frontend then compiles the AST to Yosys's main internal data format,
38 the RTL Intermediate Language (RTLIL). A more detailed description of this format
39 is given in the next section.
40
41 There is also a text representation of the RTLIL data structure that can be
42 parsed using the ILANG Frontend.
43
44 The design data may then be transformed using a series of passes that all
45 operate on the RTLIL representation of the design.
46
47 Finally the design in RTLIL representation is converted back to text by one
48 of the backends, namely the Verilog Backend for generating Verilog netlists
49 and the ILANG Backend for writing the RTLIL data in the same format that is
50 understood by the ILANG Frontend.
51
52 With the exception of the AST Frontend, which is called by the high-level HDL
53 frontends and can't be called directly by the user, all program modules are
54 called by the user (usually using a synthesis script that contains text
55 commands for Yosys).
56
57 By combining passes in different ways and/or adding additional passes to Yosys
58 it is possible to adapt Yosys to a wide range of applications. For this to be
59 possible it is key that (1) all passes operate on the same data structure
60 (RTLIL) and (2) that this data structure is powerful enough to represent the design
61 in different stages of the synthesis.
62
63 \begin{figure}[t]
64 \hfil
65 \begin{tikzpicture}
66 \tikzstyle{process} = [draw, fill=green!10, rectangle, minimum height=3em, minimum width=10em, node distance=15em]
67 \tikzstyle{data} = [draw, fill=blue!10, ellipse, minimum height=3em, minimum width=7em, node distance=15em]
68 \node[process] (vlog) {Verilog Frontend};
69 \node[process, dashed, fill=green!5] (vhdl) [right of=vlog] {VHDL Frontend};
70 \node[process] (ilang) [right of=vhdl] {ILANG Frontend};
71 \node[data] (ast) [below of=vlog, node distance=5em, xshift=7.5em] {AST};
72 \node[process] (astfe) [below of=ast, node distance=5em] {AST Frontend};
73 \node[data] (rtlil) [below of=astfe, node distance=5em, xshift=7.5em] {RTLIL};
74 \node[process] (pass) [right of=rtlil, node distance=5em, xshift=7.5em] {Passes};
75 \node[process] (vlbe) [below of=rtlil, node distance=7em, xshift=-13em] {Verilog Backend};
76 \node[process] (ilangbe) [below of=rtlil, node distance=7em, xshift=0em] {ILANG Backend};
77 \node[process, dashed, fill=green!5] (otherbe) [below of=rtlil, node distance=7em, xshift=+13em] {Other Backends};
78
79 \draw[-latex] (vlog) -- (ast);
80 \draw[-latex] (vhdl) -- (ast);
81 \draw[-latex] (ast) -- (astfe);
82 \draw[-latex] (astfe) -- (rtlil);
83 \draw[-latex] (ilang) -- (rtlil);
84 \draw[latex-latex] (rtlil) -- (pass);
85 \draw[-latex] (rtlil) -- (vlbe);
86 \draw[-latex] (rtlil) -- (ilangbe);
87 \draw[-latex] (rtlil) -- (otherbe);
88 \end{tikzpicture}
89 \caption{Yosys simplified data flow (ellipses: data structures, rectangles: program modules)}
90 \label{fig:Overview_flow}
91 \end{figure}
92
93 \section{The RTL Intermediate Language}
94
95 All frontends, passes and backends in Yosys operate on a design in RTLIL\footnote{The {\it Language} in {\it RTL Intermediate Language}
96 refers to the fact, that RTLIL also has a text representation, usually referred to as {\it Intermediate Language} (ILANG).} representation.
97 The only exception are the high-level frontends that use the AST representation as an intermediate step before generating RTLIL
98 data.
99
100 In order to avoid reinventing names for the RTLIL classes, they are simply referred to by their full C++ name, i.e.~including
101 the {\tt RTLIL::} namespace prefix, in this document.
102
103 Figure~\ref{fig:Overview_RTLIL} shows a simplified Entity-Relationship Diagram (ER Diagram) of RTLIL. In $1:N$ relationships the arrow
104 points from the $N$ side to the $1$. For example one RTLIL::Design contains $N$ (zero to many) instances of RTLIL::Module.
105 A two-pointed arrow indicates a $1:1$ relationship.
106
107 The RTLIL::Design is the root object of the RTLIL data structure. There is always one ``current design'' in memory
108 which passes operate on, frontends add data to and backends convert to exportable formats. But in some cases passes
109 internally generate additional RTLIL::Design objects. For example when a pass is reading an auxiliary Verilog file such
110 as a cell library, it might create an additional RTLIL::Design object and call the Verilog frontend with this
111 other object to parse the cell library.
112
113 \begin{figure}[t]
114 \hfil
115 \begin{tikzpicture}
116 \tikzstyle{entity} = [draw, fill=gray!10, rectangle, minimum height=3em, minimum width=7em, node distance=5em, font={\ttfamily}]
117 \node[entity] (design) {RTLIL::Design};
118 \node[entity] (module) [right of=design, node distance=11em] {RTLIL::Module} edge [-latex] node[above] {\tiny 1 \hskip3em N} (design);
119
120 \node[entity] (process) [fill=green!10, right of=module, node distance=10em] {RTLIL::Process} (process.west) edge [-latex] (module);
121 \node[entity] (memory) [fill=red!10, below of=process] {RTLIL::Memory} edge [-latex] (module);
122 \node[entity] (wire) [fill=blue!10, above of=process] {RTLIL::Wire} (wire.west) edge [-latex] (module);
123 \node[entity] (cell) [fill=blue!10, above of=wire] {RTLIL::Cell} (cell.west) edge [-latex] (module);
124
125 \node[entity] (case) [fill=green!10, right of=process, node distance=10em] {RTLIL::CaseRule} edge [latex-latex] (process);
126 \node[entity] (sync) [fill=green!10, above of=case] {RTLIL::SyncRule} edge [-latex] (process);
127 \node[entity] (switch) [fill=green!10, below of=case] {RTLIL::SwitchRule} edge [-latex] (case);
128 \draw[latex-] (switch.east) -- ++(1em,0) |- (case.east);
129 \end{tikzpicture}
130 \caption{Simplified RTLIL Entity-Relationship Diagram}
131 \label{fig:Overview_RTLIL}
132 \end{figure}
133
134 There is only one active RTLIL::Design object that is used by all frontends,
135 passes and backends called by the user, e.g.~using a synthesis script. The RTLIL::Design then contains
136 zero to many RTLIL::Module objects. This corresponds to modules in Verilog or entities in VHDL. Each
137 module in turn contains objects from three different categories:
138
139 \begin{itemize}
140 \item RTLIL::Cell and RTLIL::Wire objects represent classical netlist data.
141 \item RTLIL::Process objects represent the decision trees (if-then-else statements, etc.) and synchronization
142 declarations (clock signals and sensitivity) from Verilog {\tt always} and VHDL {\tt process} blocks.
143 \item RTLIL::Memory objects represent addressable memories (arrays).
144 \end{itemize}
145
146 \begin{sloppypar}
147 Usually the output of the synthesis procedure is a netlist, i.e. all
148 RTLIL::Process and RTLIL::Memory objects must be replaced by RTLIL::Cell and
149 RTLIL::Wire objects by synthesis passes.
150 \end{sloppypar}
151
152 All features of the HDL that cannot be mapped directly to these RTLIL classes must be
153 transformed to an RTLIL-compatible representation by the HDL frontend. This includes
154 Verilog-features such as generate-blocks, loops and parameters.
155
156 The following sections contain a more detailed description of the different
157 parts of RTLIL and rationale behind some of the design decisions.
158
159 \subsection{RTLIL Identifiers}
160
161 All identifiers in RTLIL (such as module names, port names, signal names, cell
162 types, etc.) follow the following naming convention: they must either start with
163 a backslash (\textbackslash) or a dollar sign (\$).
164
165 Identifiers starting with a backslash are public visible identifiers. Usually
166 they originate from one of the HDL input files. For example the signal name ``{\tt \textbackslash sig42}''
167 is most likely a signal that was declared using the name ``{\tt sig42}'' in an HDL input file.
168 On the other hand the signal name ``{\tt \$sig42}'' is an auto-generated signal name. The backends
169 convert all identifiers that start with a dollar sign to identifiers that do not collide with
170 identifiers that start with a backslash.
171
172 This has three advantages:
173
174 \begin{itemize}
175 \item First, it is impossible that an auto-generated identifier collides with
176 an identifier that was provided by the user.
177 \item Second, the information about which identifiers were originally
178 provided by the user is always available which can help guide some optimizations. For example the ``opt\_rmunused''
179 tries to preserve signals with a user-provided name but doesn't hesitate to delete signals that have
180 auto-generated names when they just duplicate other signals.
181 \item Third, the delicate job of finding suitable auto-generated public visible
182 names is deferred to one central location. Internally auto-generated names that
183 may hold important information for Yosys developers can be used without
184 disturbing external tools. For example the Verilog backend assigns names in the form {\tt \_{\it integer}\_}.
185 \end{itemize}
186
187 In order to avoid programming errors, the RTLIL data structures check if all
188 identifiers start with either a backslash or a dollar sign and generate a
189 runtime error if this rule is violated.
190
191 All RTLIL identifiers are case sensitive.
192
193 \subsection{RTLIL::Design and RTLIL::Module}
194
195 The RTLIL::Design object is basically just a container for RTLIL::Module objects. In addition to
196 a list of RTLIL::Module objects the RTLIL::Design also keeps a list of {\it selected objects}, i.e.
197 the objects that passes should operate on. In most cases the whole design is selected and therefore
198 passes operate on the whole design. But this mechanism can be useful for more complex synthesis jobs
199 in which only parts of the design should be affected by certain passes.
200
201 Besides the objects shown in the ER diagram in Fig.~\ref{fig:Overview_RTLIL} an RTLIL::Module object
202 contains the following additional properties:
203
204 \begin{itemize}
205 \item The module name
206 \item A list of attributes
207 \item A list of connections between wires
208 \item An optional frontend callback used to derive parametrized variations of the module
209 \end{itemize}
210
211 The attributes can be Verilog attributes imported by the Verilog frontend or attributes assigned
212 by passes. They can be used to store additional metadata about modules or just mark them to be
213 used by certain part of the synthesis script but not by others.
214
215 Verilog and VHDL both support parametric modules (known as ``generic entities'' in VHDL). The RTLIL
216 format does not support parametric modules itself. Instead each module contains a callback function
217 into the AST frontend to generate a parametrized variation of the RTLIL::Module as needed. This
218 callback then returns the auto-generated name of the parametrized variation of the module. (A hash
219 over the parameters and the module name is used to prohibit the same parametrized variation from being
220 generated twice. For modules with only a few parameters, a name directly containing all parameters
221 is generated instead of a hash string.)
222
223 \subsection{RTLIL::Cell and RTLIL::Wire}
224
225 A module contains zero to many RTLIL::Cell and RTLIL::Wire objects. Objects of
226 these types are used to model netlists. Usually the goal of all synthesis efforts is to convert
227 all modules to a state where the functionality of the module is implemented only by cells
228 from a given cell library and wires to connect these cells with each other. Note that module
229 ports are just wires with a special property.
230
231 An RTLIL::Wire object has the following properties:
232
233 \begin{itemize}
234 \item The wire name
235 \item A list of attributes
236 \item A width (buses are just wires with a width > 1)
237 \item Bus direction (MSB to LSB or vice versa)
238 \item Lowest valid bit index (LSB or MSB depending on bus direction)
239 \item If the wire is a port: port number and direction (input/output/inout)
240 \end{itemize}
241
242 As with modules, the attributes can be Verilog attributes imported by the
243 Verilog frontend or attributes assigned by passes.
244
245 In Yosys, busses (signal vectors) are represented using a single wire object
246 with a width > 1. So Yosys does not convert signal vectors to individual signals.
247 This makes some aspects of RTLIL more complex but enables Yosys to be used for
248 coarse grain synthesis where the cells of the target architecture operate on
249 entire signal vectors instead of single bit wires.
250
251 In Verilog and VHDL, busses may have arbitrary bounds, and LSB can have either
252 the lowest or the highest bit index. In RTLIL, bit 0 always corresponds to LSB;
253 however, information from the HDL frontend is preserved so that the bus will be
254 correctly indexed in error messages, backend output, constraint files, etc.
255
256 An RTLIL::Cell object has the following properties:
257
258 \begin{itemize}
259 \item The cell name and type
260 \item A list of attributes
261 \item A list of parameters (for parametric cells)
262 \item Cell ports and the connections of ports to wires and constants
263 \end{itemize}
264
265 The connections of ports to wires are coded by assigning an RTLIL::SigSpec
266 to each cell port. The RTLIL::SigSpec data type is described in the next section.
267
268 \subsection{RTLIL::SigSpec}
269
270 A ``signal'' is everything that can be applied to a cell port. I.e.
271
272 \begin{itemize}
273 \item Any constant value of arbitrary bit-width \\
274 \null\hskip1em For example: \lstinline[language=Verilog]{1337, 16'b0000010100111001, 1'b1, 1'bx}
275 \item All bits of a wire or a selection of bits from a wire \\
276 \null\hskip1em For example: \lstinline[language=Verilog]{mywire, mywire[24], mywire[15:8]}
277 \item Concatenations of the above \\
278 \null\hskip1em For example: \lstinline[language=Verilog]|{16'd1337, mywire[15:8]}|
279 \end{itemize}
280
281 The RTLIL::SigSpec data type is used to represent signals. The RTLIL::Cell
282 object contains one RTLIL::SigSpec for each cell port.
283
284 In addition, connections between wires are represented using a pair of
285 RTLIL::SigSpec objects. Such pairs are needed in different locations. Therefore
286 the type name RTLIL::SigSig was defined for such a pair.
287
288 \subsection{RTLIL::Process}
289
290 When a high-level HDL frontend processes behavioural code it splits it up into
291 data path logic (e.g.~the expression {\tt a + b} is replaced by the output of an
292 adder that takes {\tt a} and {\tt b} as inputs) and an RTLIL::Process that models
293 the control logic of the behavioural code. Let's consider a simple example:
294
295 \begin{lstlisting}[numbers=left,frame=single,language=Verilog]
296 module ff_with_en_and_async_reset(clock, reset, enable, d, q);
297 input clock, reset, enable, d;
298 output reg q;
299 always @(posedge clock, posedge reset)
300 if (reset)
301 q <= 0;
302 else if (enable)
303 q <= d;
304 endmodule
305 \end{lstlisting}
306
307 In this example there is no data path and therefore the RTLIL::Module generated by
308 the frontend only contains a few RTLIL::Wire objects and an RTLIL::Process.
309 The RTLIL::Process in ILANG syntax:
310
311 \begin{lstlisting}[numbers=left,frame=single,language=rtlil]
312 process $proc$ff_with_en_and_async_reset.v:4$1
313 assign $0\q[0:0] \q
314 switch \reset
315 case 1'1
316 assign $0\q[0:0] 1'0
317 case
318 switch \enable
319 case 1'1
320 assign $0\q[0:0] \d
321 case
322 end
323 end
324 sync posedge \clock
325 update \q $0\q[0:0]
326 sync posedge \reset
327 update \q $0\q[0:0]
328 end
329 \end{lstlisting}
330
331 This RTLIL::Process contains two RTLIL::SyncRule objects, two RTLIL::SwitchRule
332 objects and five RTLIL::CaseRule objects. The wire {\tt \$0\textbackslash{}q[0:0]}
333 is an automatically created wire that holds the next value of {\tt \textbackslash{}q}. The lines
334 $2 \dots 12$ describe how {\tt \$0\textbackslash{}q[0:0]} should be calculated. The
335 lines $13 \dots 16$ describe how the value of {\tt \$0\textbackslash{}q[0:0]} is used
336 to update {\tt \textbackslash{}q}.
337
338 An RTLIL::Process is a container for zero or more RTLIL::SyncRule objects and
339 exactly one RTLIL::CaseRule object, which is called the {\it root case}.
340
341 An RTLIL::SyncRule object contains an (optional) synchronization condition (signal and edge-type) and zero or
342 more assignments (RTLIL::SigSig). The {\tt always} synchronization condition is used to break combinatorial
343 loops when a latch should be inferred instead.
344
345 An RTLIL::CaseRule is a container for zero or more assignments (RTLIL::SigSig)
346 and zero or more RTLIL::SwitchRule objects. An RTLIL::SwitchRule objects is a
347 container for zero or more RTLIL::CaseRule objects.
348
349 In the above example the lines $2 \dots 12$ are the root case. Here {\tt \$0\textbackslash{}q[0:0]} is first
350 assigned the old value {\tt \textbackslash{}q} as default value (line 2). The root case
351 also contains an RTLIL::SwitchRule object (lines $3 \dots 12$). Such an object is very similar to the C {\tt switch}
352 statement as it uses a control signal ({\tt \textbackslash{}reset} in this case) to determine
353 which of its cases should be active. The RTLIL::SwitchRule object then contains one RTLIL::CaseRule
354 object per case. In this example there is a case\footnote{The
355 syntax {\tt 1'1} in the ILANG code specifies a constant with a length of one bit (the first ``1''),
356 and this bit is a one (the second ``1'').} for {\tt \textbackslash{}reset == 1} that causes
357 {\tt \$0\textbackslash{}q[0:0]} to be set (lines 4 and 5) and a default case that in turn contains a switch that
358 sets {\tt \$0\textbackslash{}q[0:0]} to the value of {\tt \textbackslash{}d} if {\tt
359 \textbackslash{}enable} is active (lines $6 \dots 11$).
360
361 A case can specify zero or more compare values that will determine whether it matches. Each of the compare values
362 must be the exact same width as the control signal. When more than one compare value is specified, the case matches
363 if any of them matches the control signal; when zero compare values are specified, the case always matches (i.e.
364 it is the default case).
365
366 A switch prioritizes cases from first to last: multiple cases can match, but only the first matched case becomes
367 active. This normally synthesizes to a priority encoder. The {\tt parallel\_case} attribute allows passes to assume
368 that no more than one case will match, and {\tt full\_case} attribute allows passes to assume that exactly one
369 case will match; if these invariants are ever dynamically violated, the behavior is undefined. These attributes
370 are useful when an invariant invisible to the synthesizer causes the control signal to never take certain
371 bit patterns.
372
373 The lines $13 \dots 16$ then cause {\tt \textbackslash{}q} to be updated whenever there is
374 a positive clock edge on {\tt \textbackslash{}clock} or {\tt \textbackslash{}reset}.
375
376 In order to generate such a representation, the language frontend must be able to handle blocking
377 and nonblocking assignments correctly. However, the language frontend does not need to identify
378 the correct type of storage element for the output signal or generate multiplexers for the
379 decision tree. This is done by passes that work on the RTLIL representation. Therefore it is
380 relatively easy to substitute these steps with other algorithms that target different target
381 architectures or perform optimizations or other transformations on the decision trees before
382 further processing them.
383
384 One of the first actions performed on a design in RTLIL representation in most
385 synthesis scripts is identifying asynchronous resets. This is usually done using the {\tt proc\_arst}
386 pass. This pass transforms the above example to the following RTLIL::Process:
387
388 \begin{lstlisting}[numbers=left,frame=single,language=rtlil]
389 process $proc$ff_with_en_and_async_reset.v:4$1
390 assign $0\q[0:0] \q
391 switch \enable
392 case 1'1
393 assign $0\q[0:0] \d
394 case
395 end
396 sync posedge \clock
397 update \q $0\q[0:0]
398 sync high \reset
399 update \q 1'0
400 end
401 \end{lstlisting}
402
403 This pass has transformed the outer RTLIL::SwitchRule into a modified RTLIL::SyncRule object
404 for the {\tt \textbackslash{}reset} signal. Further processing converts the RTLIL::Process
405 into e.g.~a d-type flip-flop with asynchronous reset and a multiplexer for the enable signal:
406
407 \begin{lstlisting}[numbers=left,frame=single,language=rtlil]
408 cell $adff $procdff$6
409 parameter \ARST_POLARITY 1'1
410 parameter \ARST_VALUE 1'0
411 parameter \CLK_POLARITY 1'1
412 parameter \WIDTH 1
413 connect \ARST \reset
414 connect \CLK \clock
415 connect \D $0\q[0:0]
416 connect \Q \q
417 end
418 cell $mux $procmux$3
419 parameter \WIDTH 1
420 connect \A \q
421 connect \B \d
422 connect \S \enable
423 connect \Y $0\q[0:0]
424 end
425 \end{lstlisting}
426
427 Different combinations of passes may yield different results. Note that {\tt \$adff} and {\tt
428 \$mux} are internal cell types that still need to be mapped to cell types from the
429 target cell library.
430
431 Some passes refuse to operate on modules that still contain RTLIL::Process objects as the
432 presence of these objects in a module increases the complexity. Therefore the passes to translate
433 processes to a netlist of cells are usually called early in a synthesis script. The {\tt proc}
434 pass calls a series of other passes that together perform this conversion in a way that is suitable
435 for most synthesis tasks.
436
437 \subsection{RTLIL::Memory}
438
439 For every array (memory) in the HDL code an RTLIL::Memory object is created. A
440 memory object has the following properties:
441
442 \begin{itemize}
443 \item The memory name
444 \item A list of attributes
445 \item The width of an addressable word
446 \item The size of the memory in number of words
447 \end{itemize}
448
449 All read accesses to the memory are transformed to {\tt \$memrd} cells and all write accesses to
450 {\tt \$memwr} cells by the language frontend. These cells consist of independent read- and write-ports
451 to the memory. Memory initialization is transformed to {\tt \$meminit} cells by the language frontend.
452 The \B{MEMID} parameter on these cells is used to link them together and to the RTLIL::Memory object they belong to.
453
454 The rationale behind using separate cells for the individual ports versus
455 creating a large multiport memory cell right in the language frontend is that
456 the separate {\tt \$memrd} and {\tt \$memwr} cells can be consolidated using resource sharing.
457 As resource sharing is a non-trivial optimization problem where different synthesis tasks
458 can have different requirements it lends itself to do the optimisation in separate passes and merge
459 the RTLIL::Memory objects and {\tt \$memrd} and {\tt \$memwr} cells to multiport memory blocks after resource sharing is completed.
460
461 The {\tt memory} pass performs this conversion and can (depending on the options passed
462 to it) transform the memories directly to d-type flip-flops and address logic or yield
463 multiport memory blocks (represented using {\tt \$mem} cells).
464
465 See Sec.~\ref{sec:memcells} for details about the memory cell types.
466
467 \section{Command Interface and Synthesis Scripts}
468
469 Yosys reads and processes commands from synthesis scripts, command line arguments and
470 an interactive command prompt. Yosys commands consist of a command name and an optional
471 whitespace separated list of arguments. Commands are terminated using the newline character
472 or a semicolon ({\tt ;}). Empty lines and lines starting with the hash sign ({\tt \#}) are ignored.
473 See Sec.~\ref{sec:typusecase} for an example synthesis script.
474
475 The command {\tt help} can be used to access the command reference manual.
476
477 Most commands can operate not only on the entire design but also specifically on {\it selected}
478 parts of the design. For example the command {\tt dump} will print all selected objects
479 in the current design while {\tt dump foobar} will only print the module {\tt foobar}
480 and {\tt dump *} will print the entire design regardless of the current selection.
481
482 The selection mechanism is very powerful. For example the command {\tt dump */t:\$add
483 \%x:+[A] */w:* \%i} will print all wires that are connected to the \B{A} port of
484 a {\tt \$add} cell. Detailed documentation of the select framework can be
485 found in the command reference for the {\tt select} command.
486
487 \section{Source Tree and Build System}
488
489 The Yosys source tree is organized into the following top-level directories:
490
491 \begin{itemize}
492
493 \item {\tt backends/} \\
494 This directory contains a subdirectory for each of the backend modules.
495
496 \item {\tt frontends/} \\
497 This directory contains a subdirectory for each of the frontend modules.
498
499 \item {\tt kernel/} \\
500 This directory contains all the core functionality of Yosys. This includes the
501 functions and definitions for working with the RTLIL data structures ({\tt
502 rtlil.h} and {\tt rtlil.cc}), the main() function ({\tt driver.cc}), the
503 internal framework for generating log messages ({\tt log.h} and {\tt log.cc}),
504 the internal framework for registering and calling passes ({\tt register.h} and
505 {\tt register.cc}), some core commands that are not really passes ({\tt
506 select.cc}, {\tt show.cc}, \dots) and a couple of other small utility libraries.
507
508 \item {\tt passes/} \\
509 This directory contains a subdirectory for each pass or group of passes. For example as
510 of this writing the directory {\tt passes/opt/} contains the code for seven
511 passes: {\tt opt}, {\tt opt\_expr}, {\tt opt\_muxtree}, {\tt opt\_reduce},
512 {\tt opt\_rmdff}, {\tt opt\_rmunused} and {\tt opt\_merge}.
513
514 \item {\tt techlibs/} \\
515 This directory contains simulation models and standard implementations for the
516 cells from the internal cell library.
517
518 \item {\tt tests/} \\
519 This directory contains a couple of test cases. Most of the smaller tests are executed
520 automatically when {\tt make test} is called. The larger tests must be executed
521 manually. Most of the larger tests require downloading external HDL source code
522 and/or external tools. The tests range from comparing simulation results of the synthesized
523 design to the original sources to logic equivalence checking of entire CPU cores.
524
525 \end{itemize}
526
527 \begin{sloppypar}
528 The top-level Makefile includes {\tt frontends/*/Makefile.inc}, {\tt passes/*/Makefile.inc}
529 and {\tt backends/*/Makefile.inc}. So when extending Yosys it is enough to create
530 a new directory in {\tt frontends/}, {\tt passes/} or {\tt backends/} with your sources
531 and a {\tt Makefile.inc}. The Yosys kernel automatically detects all commands linked with
532 Yosys. So it is not needed to add additional commands to a central list of commands.
533 \end{sloppypar}
534
535 Good starting points for reading example source code to learn how to write passes
536 are {\tt passes/opt/opt\_rmdff.cc} and {\tt passes/opt/opt\_merge.cc}.
537
538 See the top-level README file for a quick {\it Getting Started} guide and build
539 instructions. The Yosys build is based solely on Makefiles.
540
541 Users of the Qt Creator IDE can generate a QT Creator project file using {\tt
542 make qtcreator}. Users of the Eclipse IDE can use the ``Makefile Project with
543 Existing Code'' project type in the Eclipse ``New Project'' dialog (only
544 available after the CDT plugin has been installed) to create an Eclipse project
545 in order to programming extensions to Yosys or just browse the Yosys code base.
546