Merge branch 'master' of https://github.com/cliffordwolf/yosys into btor
[yosys.git] / manual / PRESENTATION_ExAdv / sym_mul_cells.v
1 module MYMUL(A, B, Y);
2 parameter WIDTH = 1;
3 input [WIDTH-1:0] A, B;
4 output [WIDTH-1:0] Y;
5 assign Y = A * B;
6 endmodule