Merge pull request #1384 from YosysHQ/clifford/fix1381
[yosys.git] / manual / PRESENTATION_ExOth / axis_test.ys
1 read_verilog -sv axis_master.v axis_test.v
2 hierarchy -top axis_test
3
4 proc; flatten;;
5 sat -falsify -seq 50 -prove-asserts