kernel: TimingInfo to clamp -ve setup/edge-sensitive delays to zero
[yosys.git] / manual / PRESENTATION_ExSyn / opt_01.v
1 module test(input A, B, output Y);
2 assign Y = A ? A ? B : 1'b1 : B;
3 endmodule