kernel: TimingInfo to clamp -ve setup/edge-sensitive delays to zero
[yosys.git] / manual / PRESENTATION_ExSyn / proc_01.v
1 module test(input D, C, R, output reg Q);
2 always @(posedge C, posedge R)
3 if (R)
4 Q <= 0;
5 else
6 Q <= D;
7 endmodule