kernel: TimingInfo to clamp -ve setup/edge-sensitive delays to zero
[yosys.git] / manual / PRESENTATION_ExSyn / techmap_01.ys
1 read_verilog techmap_01.v
2 hierarchy -check -top test
3 techmap -map techmap_01_map.v;;