1 from abc
import abstractproperty
7 __all__
= ["LatticeECP5Platform"]
10 class LatticeECP5Platform(TemplatedPlatform
):
20 The environment is populated by running the script specified in the environment variable
21 ``NMIGEN_ENV_Trellis``, if present.
24 * ``verbose``: enables logging of informational messages to standard error.
25 * ``read_verilog_opts``: adds options for ``read_verilog`` Yosys command.
26 * ``synth_opts``: adds options for ``synth_ecp5`` Yosys command.
27 * ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script.
28 * ``script_after_synth``: inserts commands after ``synth_ecp5`` in Yosys script.
29 * ``yosys_opts``: adds extra options for ``yosys``.
30 * ``nextpnr_opts``: adds extra options for ``nextpnr-ecp5``.
31 * ``ecppack_opts``: adds extra options for ``ecppack``.
32 * ``add_preferences``: inserts commands at the end of the LPF file.
35 * ``{{name}}.rpt``: Yosys log.
36 * ``{{name}}.json``: synthesized RTL.
37 * ``{{name}}.tim``: nextpnr log.
38 * ``{{name}}.config``: ASCII bitstream.
39 * ``{{name}}.bit``: binary bitstream.
40 * ``{{name}}.svf``: JTAG programming vector.
49 The environment is populated by running the script specified in the environment variable
50 ``NMIGEN_ENV_Diamond``, if present.
53 * ``script_project``: inserts commands before ``prj_project save`` in Tcl script.
54 * ``script_after_export``: inserts commands after ``prj_run Export`` in Tcl script.
55 * ``add_preferences``: inserts commands at the end of the LPF file.
56 * ``add_constraints``: inserts commands at the end of the XDC file.
59 * ``{{name}}_impl/{{name}}_impl.htm``: consolidated log.
60 * ``{{name}}.bit``: binary bitstream.
61 * ``{{name}}.svf``: JTAG programming vector.
64 toolchain
= None # selected when creating platform
66 device
= abstractproperty()
67 package
= abstractproperty()
68 speed
= abstractproperty()
69 grade
= "C" # [C]ommercial, [I]ndustrial
73 _nextpnr_device_options
= {
78 "LFE5UM-12F": "--um-25k",
79 "LFE5UM-25F": "--um-25k",
80 "LFE5UM-45F": "--um-45k",
81 "LFE5UM-85F": "--um-85k",
82 "LFE5UM5G-12F": "--um5g-25k",
83 "LFE5UM5G-25F": "--um5g-25k",
84 "LFE5UM5G-45F": "--um5g-45k",
85 "LFE5UM5G-85F": "--um5g-85k",
87 _nextpnr_package_options
= {
95 _trellis_required_tools
= [
100 _trellis_file_templates
= {
101 **TemplatedPlatform
.build_script_templates
,
106 "{{name}}.debug.v": r
"""
107 /* {{autogenerated}} */
108 {{emit_debug_verilog()}}
112 {% for file in platform.iter_extra_files(".v") -%}
113 read_verilog {{get_override("read_verilog_opts")|options}} {{file}}
115 {% for file in platform.iter_extra_files(".sv") -%}
116 read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}}
118 {% for file in platform.iter_extra_files(".il") -%}
121 read_ilang {{name}}.il
122 {{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
123 synth_ecp5 {{get_override("synth_opts")|options}} -top {{name}}
124 {{get_override("script_after_synth")|default("# (script_after_synth placeholder)")}}
125 write_json {{name}}.json
131 {% for port_name, pin_name, extras in platform.iter_port_constraints_bits() -%}
132 LOCATE COMP "{{port_name}}" SITE "{{pin_name}}";
134 IOBUF PORT "{{port_name}}"
135 {%- for key, value in extras.items() %} {{key}}={{value}}{% endfor %};
138 {% for net_signal, port_signal, frequency in platform.iter_clock_constraints() -%}
139 {% if port_signal is not none -%}
140 FREQUENCY PORT "{{port_signal.name}}" {{frequency}} HZ;
142 FREQUENCY NET "{{net_signal|hierarchy(".")}}" {{frequency}} HZ;
145 {{get_override("add_preferences")|default("# (add_preferences placeholder)")}}
148 _trellis_command_templates
= [
150 {{invoke_tool("yosys")}}
152 {{get_override("yosys_opts")|options}}
157 {{invoke_tool("nextpnr-ecp5")}}
159 {{get_override("nextpnr_opts")|options}}
161 {{platform._nextpnr_device_options[platform.device]}}
162 --package {{platform._nextpnr_package_options[platform.package]|upper}}
163 --speed {{platform.speed}}
166 --textcfg {{name}}.config
169 {{invoke_tool("ecppack")}}
170 {{verbose("--verbose")}}
171 {{get_override("ecppack_opts")|options}}
172 --input {{name}}.config
180 _diamond_required_tools
= [
185 _diamond_file_templates
= {
186 **TemplatedPlatform
.build_script_templates
,
187 "build_{{name}}.sh": r
"""
189 set -e{{verbose("x")}}
190 if [ -z "$BASH" ] ; then exec /bin/bash "$0" "$@"; fi
191 if [ -n "${{platform._toolchain_env_var}}" ]; then
192 bindir=$(dirname "${{platform._toolchain_env_var}}")
193 . "${{platform._toolchain_env_var}}"
195 {{emit_commands("sh")}}
198 /* {{autogenerated}} */
201 "{{name}}.debug.v": r
"""
202 /* {{autogenerated}} */
203 {{emit_debug_verilog()}}
206 prj_project new -name {{name}} -impl impl -impl_dir top_impl \
207 -dev {{platform.device}}-{{platform.speed}}{{platform.package}}{{platform.grade}} \
210 {% for file in platform.iter_extra_files(".v", ".sv", ".vhd", ".vhdl") -%}
211 prj_src add "{{file}}"
213 prj_src add {{name}}.v
214 prj_impl option top {{name}}
215 prj_src add {{name}}.sdc
216 {{get_override("script_project")|default("# (script_project placeholder)")}}
218 prj_run Synthesis -impl impl -forceAll
219 prj_run Translate -impl impl -forceAll
220 prj_run Map -impl impl -forceAll
221 prj_run PAR -impl impl -forceAll
222 prj_run Export -impl "impl" -forceAll -task Bitgen
223 {{get_override("script_after_export")|default("# (script_after_export placeholder)")}}
229 {% for port_name, pin_name, extras in platform.iter_port_constraints_bits() -%}
230 LOCATE COMP "{{port_name}}" SITE "{{pin_name}}";
231 IOBUF PORT "{{port_name}}"
232 {%- for key, value in extras.items() %} {{key}}={{value}}{% endfor %};
234 {{get_override("add_preferences")|default("# (add_preferences placeholder)")}}
237 {% for net_signal, port_signal, frequency in platform.iter_clock_constraints() -%}
238 {% if port_signal is not none -%}
239 create_clock -name {{port_signal.name}} -period {{1000000000/frequency}} [get_ports {{port_signal.name}}]
241 create_clock -name {{net_signal.name}} -period {{1000000000/frequency}} [get_nets {{net_signal|hierarchy("/")}}]
244 {{get_override("add_constraints")|default("# (add_constraints placeholder)")}}
247 _diamond_command_templates
= [
248 # These don't have any usable command-line option overrides.
250 {{invoke_tool("pnmainc")}}
254 {{invoke_tool("ddtcmd")}}
256 -if {{name}}_impl/{{name}}_impl.bit -of {{name}}.bit
259 {{invoke_tool("ddtcmd")}}
260 -oft -svfsingle -revd -op "Fast Program"
261 -if {{name}}_impl/{{name}}_impl.bit -of {{name}}.svf
267 def __init__(self
, *, toolchain
="Trellis"):
270 assert toolchain
in ("Trellis", "Diamond")
271 self
.toolchain
= toolchain
274 def required_tools(self
):
275 if self
.toolchain
== "Trellis":
276 return self
._trellis
_required
_tools
277 if self
.toolchain
== "Diamond":
278 return self
._diamond
_required
_tools
282 def file_templates(self
):
283 if self
.toolchain
== "Trellis":
284 return self
._trellis
_file
_templates
285 if self
.toolchain
== "Diamond":
286 return self
._diamond
_file
_templates
290 def command_templates(self
):
291 if self
.toolchain
== "Trellis":
292 return self
._trellis
_command
_templates
293 if self
.toolchain
== "Diamond":
294 return self
._diamond
_command
_templates
298 def default_clk_constraint(self
):
299 if self
.default_clk
== "OSCG":
300 return Clock(310e6
/ self
.oscg_div
)
301 return super().default_clk_constraint
303 def create_missing_domain(self
, name
):
304 # Lattice ECP5 devices have two global set/reset signals: PUR, which is driven at startup
305 # by the configuration logic and unconditionally resets every storage element, and GSR,
306 # which is driven by user logic and each storage element may be configured as affected or
307 # unaffected by GSR. PUR is purely asynchronous, so even though it is a low-skew global
308 # network, its deassertion may violate a setup/hold constraint with relation to a user
309 # clock. To avoid this, a GSR/SGSR instance should be driven synchronized to user clock.
310 if name
== "sync" and self
.default_clk
is not None:
312 if self
.default_clk
== "OSCG":
313 if not hasattr(self
, "oscg_div"):
314 raise ValueError("OSCG divider (oscg_div) must be an integer between 2 "
316 if not isinstance(self
.oscg_div
, int) or self
.oscg_div
< 2 or self
.oscg_div
> 128:
317 raise ValueError("OSCG divider (oscg_div) must be an integer between 2 "
319 .format(self
.oscg_div
))
321 m
.submodules
+= Instance("OSCG", p_DIV
=self
.oscg_div
, o_OSC
=clk_i
)
323 clk_i
= self
.request(self
.default_clk
).i
324 if self
.default_rst
is not None:
325 rst_i
= self
.request(self
.default_rst
).i
331 # There is no end-of-startup signal on ECP5, but PUR is released after IOB enable, so
332 # a simple reset synchronizer (with PUR as the asynchronous reset) does the job.
334 Instance("FD1S3AX", p_GSR
="DISABLED", i_CK
=clk_i
, i_D
=~rst_i
, o_Q
=gsr0
),
335 Instance("FD1S3AX", p_GSR
="DISABLED", i_CK
=clk_i
, i_D
=gsr0
, o_Q
=gsr1
),
336 # Although we already synchronize the reset input to user clock, SGSR has dedicated
337 # clock routing to the center of the FPGA; use that just in case it turns out to be
338 # more reliable. (None of this is documented.)
339 Instance("SGSR", i_CLK
=clk_i
, i_GSR
=gsr1
),
341 # GSR implicitly connects to every appropriate storage element. As such, the sync
342 # domain is reset-less; domains driven by other clocks would need to have dedicated
343 # reset circuitry or otherwise meet setup/hold constraints on their own.
344 m
.domains
+= ClockDomain("sync", reset_less
=True)
345 m
.d
.comb
+= ClockSignal("sync").eq(clk_i
)
348 _single_ended_io_types
= [
349 "HSUL12", "LVCMOS12", "LVCMOS15", "LVCMOS18", "LVCMOS25", "LVCMOS33", "LVTTL33",
350 "SSTL135_I", "SSTL135_II", "SSTL15_I", "SSTL15_II", "SSTL18_I", "SSTL18_II",
352 _differential_io_types
= [
353 "BLVDS25", "BLVDS25E", "HSUL12D", "LVCMOS18D", "LVCMOS25D", "LVCMOS33D",
354 "LVDS", "LVDS25E", "LVPECL33", "LVPECL33E", "LVTTL33D", "MLVDS", "MLVDS25E",
355 "SLVS", "SSTL135D_II", "SSTL15D_II", "SSTL18D_II", "SUBLVDS",
358 def should_skip_port_component(self
, port
, attrs
, component
):
359 # On ECP5, a differential IO is placed by only instantiating an IO buffer primitive at
360 # the PIOA or PIOC location, which is always the non-inverting pin.
361 if attrs
.get("IO_TYPE", "LVCMOS25") in self
._differential
_io
_types
and component
== "n":
365 def _get_xdr_buffer(self
, m
, pin
, *, i_invert
=False, o_invert
=False):
366 def get_ireg(clk
, d
, q
):
367 for bit
in range(len(q
)):
368 m
.submodules
+= Instance("IFS1P3DX",
376 def get_oreg(clk
, d
, q
):
377 for bit
in range(len(q
)):
378 m
.submodules
+= Instance("OFS1P3DX",
386 def get_iddr(sclk
, d
, q0
, q1
):
387 for bit
in range(len(d
)):
388 m
.submodules
+= Instance("IDDRX1F",
392 o_Q0
=q0
[bit
], o_Q1
=q1
[bit
]
395 def get_oddr(sclk
, d0
, d1
, q
):
396 for bit
in range(len(q
)):
397 m
.submodules
+= Instance("ODDRX1F",
400 i_D0
=d0
[bit
], i_D1
=d1
[bit
],
404 def get_ineg(z
, invert
):
406 a
= Signal
.like(z
, name_suffix
="_n")
412 def get_oneg(a
, invert
):
414 z
= Signal
.like(a
, name_suffix
="_n")
422 pin_i
= get_ineg(pin
.i
, i_invert
)
424 pin_i0
= get_ineg(pin
.i0
, i_invert
)
425 pin_i1
= get_ineg(pin
.i1
, i_invert
)
428 pin_o
= get_oneg(pin
.o
, o_invert
)
430 pin_o0
= get_oneg(pin
.o0
, o_invert
)
431 pin_o1
= get_oneg(pin
.o1
, o_invert
)
435 i
= Signal(pin
.width
, name
="{}_xdr_i".format(pin
.name
))
437 o
= Signal(pin
.width
, name
="{}_xdr_o".format(pin
.name
))
438 if pin
.dir in ("oe", "io"):
439 t
= Signal(1, name
="{}_xdr_t".format(pin
.name
))
446 if pin
.dir in ("oe", "io"):
449 # Note that currently nextpnr will not pack an FF (*FS1P3DX) into the PIO.
451 get_ireg(pin
.i_clk
, i
, pin_i
)
453 get_oreg(pin
.o_clk
, pin_o
, o
)
454 if pin
.dir in ("oe", "io"):
455 get_oreg(pin
.o_clk
, ~pin
.oe
, t
)
458 get_iddr(pin
.i_clk
, i
, pin_i0
, pin_i1
)
460 get_oddr(pin
.o_clk
, pin_o0
, pin_o1
, o
)
461 if pin
.dir in ("oe", "io"):
462 # It looks like Diamond will not pack an OREG as a tristate register in a DDR PIO.
463 # It is not clear what is the recommended set of primitives for this task.
464 # Similarly, nextpnr will not pack anything as a tristate register in a DDR PIO.
465 get_oreg(pin
.o_clk
, ~pin
.oe
, t
)
471 def get_input(self
, pin
, port
, attrs
, invert
):
472 self
._check
_feature
("single-ended input", pin
, attrs
,
473 valid_xdrs
=(0, 1, 2), valid_attrs
=True)
475 i
, o
, t
= self
._get
_xdr
_buffer
(m
, pin
, i_invert
=invert
)
476 for bit
in range(len(port
)):
477 m
.submodules
["{}_{}".format(pin
.name
, bit
)] = Instance("IB",
483 def get_output(self
, pin
, port
, attrs
, invert
):
484 self
._check
_feature
("single-ended output", pin
, attrs
,
485 valid_xdrs
=(0, 1, 2), valid_attrs
=True)
487 i
, o
, t
= self
._get
_xdr
_buffer
(m
, pin
, o_invert
=invert
)
488 for bit
in range(len(port
)):
489 m
.submodules
["{}_{}".format(pin
.name
, bit
)] = Instance("OB",
495 def get_tristate(self
, pin
, port
, attrs
, invert
):
496 self
._check
_feature
("single-ended tristate", pin
, attrs
,
497 valid_xdrs
=(0, 1, 2), valid_attrs
=True)
499 i
, o
, t
= self
._get
_xdr
_buffer
(m
, pin
, o_invert
=invert
)
500 for bit
in range(len(port
)):
501 m
.submodules
["{}_{}".format(pin
.name
, bit
)] = Instance("OBZ",
508 def get_input_output(self
, pin
, port
, attrs
, invert
):
509 self
._check
_feature
("single-ended input/output", pin
, attrs
,
510 valid_xdrs
=(0, 1, 2), valid_attrs
=True)
512 i
, o
, t
= self
._get
_xdr
_buffer
(m
, pin
, i_invert
=invert
, o_invert
=invert
)
513 for bit
in range(len(port
)):
514 m
.submodules
["{}_{}".format(pin
.name
, bit
)] = Instance("BB",
522 def get_diff_input(self
, pin
, p_port
, n_port
, attrs
, invert
):
523 self
._check
_feature
("differential input", pin
, attrs
,
524 valid_xdrs
=(0, 1, 2), valid_attrs
=True)
526 i
, o
, t
= self
._get
_xdr
_buffer
(m
, pin
, i_invert
=invert
)
527 for bit
in range(len(p_port
)):
528 m
.submodules
["{}_{}".format(pin
.name
, bit
)] = Instance("IB",
534 def get_diff_output(self
, pin
, p_port
, n_port
, attrs
, invert
):
535 self
._check
_feature
("differential output", pin
, attrs
,
536 valid_xdrs
=(0, 1, 2), valid_attrs
=True)
538 i
, o
, t
= self
._get
_xdr
_buffer
(m
, pin
, o_invert
=invert
)
539 for bit
in range(len(p_port
)):
540 m
.submodules
["{}_{}".format(pin
.name
, bit
)] = Instance("OB",
546 def get_diff_tristate(self
, pin
, p_port
, n_port
, attrs
, invert
):
547 self
._check
_feature
("differential tristate", pin
, attrs
,
548 valid_xdrs
=(0, 1, 2), valid_attrs
=True)
550 i
, o
, t
= self
._get
_xdr
_buffer
(m
, pin
, o_invert
=invert
)
551 for bit
in range(len(p_port
)):
552 m
.submodules
["{}_{}".format(pin
.name
, bit
)] = Instance("OBZ",
559 def get_diff_input_output(self
, pin
, p_port
, n_port
, attrs
, invert
):
560 self
._check
_feature
("differential input/output", pin
, attrs
,
561 valid_xdrs
=(0, 1, 2), valid_attrs
=True)
563 i
, o
, t
= self
._get
_xdr
_buffer
(m
, pin
, i_invert
=invert
, o_invert
=invert
)
564 for bit
in range(len(p_port
)):
565 m
.submodules
["{}_{}".format(pin
.name
, bit
)] = Instance("BB",
573 # CDC primitives are not currently specialized for ECP5.
574 # While Diamond supports false path constraints; nextpnr-ecp5 does not.