vendor.lattice_ecp5: revert default toolchain to Trellis.
[nmigen.git] / nmigen / vendor / lattice_ecp5.py
1 from abc import abstractproperty
2
3 from ..hdl import *
4 from ..build import *
5
6
7 __all__ = ["LatticeECP5Platform"]
8
9
10 class LatticeECP5Platform(TemplatedPlatform):
11 """
12 Trellis toolchain
13 -----------------
14
15 Required tools:
16 * ``yosys``
17 * ``nextpnr-ecp5``
18 * ``ecppack``
19
20 The environment is populated by running the script specified in the environment variable
21 ``NMIGEN_Trellis_env``, if present.
22
23 Available overrides:
24 * ``verbose``: enables logging of informational messages to standard error.
25 * ``read_verilog_opts``: adds options for ``read_verilog`` Yosys command.
26 * ``synth_opts``: adds options for ``synth_ecp5`` Yosys command.
27 * ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script.
28 * ``script_after_synth``: inserts commands after ``synth_ecp5`` in Yosys script.
29 * ``yosys_opts``: adds extra options for ``yosys``.
30 * ``nextpnr_opts``: adds extra options for ``nextpnr-ecp5``.
31 * ``ecppack_opts``: adds extra options for ``ecppack``.
32
33 Build products:
34 * ``{{name}}.rpt``: Yosys log.
35 * ``{{name}}.json``: synthesized RTL.
36 * ``{{name}}.tim``: nextpnr log.
37 * ``{{name}}.config``: ASCII bitstream.
38 * ``{{name}}.bit``: binary bitstream.
39 * ``{{name}}.svf``: JTAG programming vector.
40
41 Diamond toolchain
42 -----------------
43
44 Required tools:
45 * ``pnmainc``
46 * ``ddtcmd``
47
48 The environment is populated by running the script specified in the environment variable
49 ``NMIGEN_Diamond_env``, if present.
50
51 Available overrides:
52 * ``script_project``: inserts commands before ``prj_project save`` in Tcl script.
53 * ``script_after_export``: inserts commands after ``prj_run Export`` in Tcl script.
54 * ``add_preferences``: inserts commands in LPF file.
55 * ``add_constraints``: inserts commands in XDC file.
56
57 Build products:
58 * ``{{name}}_impl/{{name}}_impl.htm``: consolidated log.
59 * ``{{name}}.bit``: binary bitstream.
60 * ``{{name}}.svf``: JTAG programming vector.
61 """
62
63 toolchain = None # selected when creating platform
64
65 device = abstractproperty()
66 package = abstractproperty()
67 speed = abstractproperty()
68 grade = "C" # [C]ommercial, [I]ndustrial
69
70 # Trellis templates
71
72 _nextpnr_device_options = {
73 "LFE5U-12F": "--25k",
74 "LFE5U-25F": "--25k",
75 "LFE5U-45F": "--45k",
76 "LFE5U-85F": "--85k",
77 "LFE5UM-12F": "--um-25k",
78 "LFE5UM-25F": "--um-25k",
79 "LFE5UM-45F": "--um-45k",
80 "LFE5UM-85F": "--um-85k",
81 "LFE5UM5G-12F": "--um5g-25k",
82 "LFE5UM5G-25F": "--um5g-25k",
83 "LFE5UM5G-45F": "--um5g-45k",
84 "LFE5UM5G-85F": "--um5g-85k",
85 }
86 _nextpnr_package_options = {
87 "BG256": "caBGA256",
88 "MG285": "csfBGA285",
89 "BG381": "caBGA381",
90 "BG554": "caBGA554",
91 "BG756": "caBGA756",
92 }
93
94 _trellis_file_templates = {
95 **TemplatedPlatform.build_script_templates,
96 "{{name}}.il": r"""
97 # {{autogenerated}}
98 {{emit_design("rtlil")}}
99 """,
100 "{{name}}.ys": r"""
101 # {{autogenerated}}
102 {% for file in platform.iter_extra_files(".v") -%}
103 read_verilog {{get_override("read_opts")|options}} {{file}}
104 {% endfor %}
105 {% for file in platform.iter_extra_files(".sv") -%}
106 read_verilog -sv {{get_override("read_opts")|options}} {{file}}
107 {% endfor %}
108 read_ilang {{name}}.il
109 {{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
110 synth_ecp5 {{get_override("synth_opts")|options}} -top {{name}}
111 {{get_override("script_after_synth")|default("# (script_after_synth placeholder)")}}
112 write_json {{name}}.json
113 """,
114 "{{name}}.lpf": r"""
115 # {{autogenerated}}
116 BLOCK ASYNCPATHS;
117 BLOCK RESETPATHS;
118 {% for port_name, pin_name, extras in platform.iter_port_constraints_bits() -%}
119 LOCATE COMP "{{port_name}}" SITE "{{pin_name}}";
120 IOBUF PORT "{{port_name}}"
121 {%- for key, value in extras.items() %} {{key}}={{value}}{% endfor %};
122 {% endfor %}
123 {% for signal, frequency in platform.iter_clock_constraints() -%}
124 FREQUENCY PORT "{{signal.name}}" {{frequency}} HZ;
125 {% endfor %}
126 """
127 }
128 _trellis_command_templates = [
129 r"""
130 {{get_tool("yosys")}}
131 {{quiet("-q")}}
132 {{get_override("yosys_opts")|options}}
133 -l {{name}}.rpt
134 {{name}}.ys
135 """,
136 r"""
137 {{get_tool("nextpnr-ecp5")}}
138 {{quiet("--quiet")}}
139 {{get_override("nextpnr_opts")|options}}
140 --log {{name}}.tim
141 {{platform._nextpnr_device_options[platform.device]}}
142 --package {{platform._nextpnr_package_options[platform.package]|upper}}
143 --speed {{platform.speed}}
144 --json {{name}}.json
145 --lpf {{name}}.lpf
146 --textcfg {{name}}.config
147 """,
148 r"""
149 {{get_tool("ecppack")}}
150 {{verbose("--verbose")}}
151 --input {{name}}.config
152 --bit {{name}}.bit
153 --svf {{name}}.svf
154 """
155 ]
156
157 # Diamond templates
158
159 _diamond_file_templates = {
160 **TemplatedPlatform.build_script_templates,
161 "build_{{name}}.sh": r"""
162 # {{autogenerated}}
163 set -e{{verbose("x")}}
164 if [ -z "$BASH" ] ; then exec /bin/bash "$0" "$@"; fi
165 if [ -n "$NMIGEN_{{platform.toolchain}}_env" ]; then
166 bindir=$(dirname "$NMIGEN_{{platform.toolchain}}_env")
167 . "$NMIGEN_{{platform.toolchain}}_env"
168 fi
169 {{emit_commands("sh")}}
170 """,
171 "{{name}}.v": r"""
172 /* {{autogenerated}} */
173 {{emit_design("verilog")}}
174 """,
175 "{{name}}.tcl": r"""
176 prj_project new -name "{{name}}" -impl "impl" -impl_dir "top_impl" \
177 -dev {{platform.device}}-{{platform.speed}}{{platform.package}}{{platform.grade}} \
178 -lpf "{{name}}.lpf" \
179 -synthesis synplify
180 {% for file in platform.iter_extra_files(".v", ".sv", ".vhd", ".vhdl") -%}
181 prj_src add "{{file}}"
182 {% endfor %}
183 prj_src add "{{name}}.v"
184 prj_impl option top "{{name}}"
185 prj_src add "{{name}}.sdc"
186 {{get_override("script_project")|default("# (script_project placeholder)")}}
187 prj_project save
188 prj_run Synthesis -impl "impl" -forceAll
189 prj_run Translate -impl "impl" -forceAll
190 prj_run Map -impl "impl" -forceAll
191 prj_run PAR -impl "impl" -forceAll
192 prj_run Export -impl "impl" -forceAll -task Bitgen
193 {{get_override("script_after_export")|default("# (script_after_export placeholder)")}}
194 """,
195 "{{name}}.lpf": r"""
196 # {{autogenerated}}
197 BLOCK ASYNCPATHS;
198 BLOCK RESETPATHS;
199 {% for port_name, pin_name, extras in platform.iter_port_constraints_bits() -%}
200 LOCATE COMP "{{port_name}}" SITE "{{pin_name}}";
201 IOBUF PORT "{{port_name}}"
202 {%- for key, value in extras.items() %} {{key}}={{value}}{% endfor %};
203 {% endfor %}
204 {% for signal, frequency in platform.iter_clock_constraints() -%}
205 FREQUENCY PORT "{{signal.name}}" {{frequency/1000000}} MHZ;
206 {% endfor %}
207 {{get_override("add_preferences")|default("# (add_preferences placeholder)")}}
208 """,
209 "{{name}}.sdc": r"""
210 {% for signal, frequency in platform.iter_clock_constraints() -%}
211 create_clock -period {{1000000000/frequency}} [get_ports {{signal.name}}]
212 {% endfor %}
213 {{get_override("add_constraints")|default("# (add_constraints placeholder)")}}
214 """,
215 }
216 _diamond_command_templates = [
217 # These don't have any usable command-line option overrides.
218 r"""
219 {{get_tool("pnmainc")}}
220 {{name}}.tcl
221 """,
222 r"""
223 {{get_tool("ddtcmd")}}
224 -oft -bit
225 -if {{name}}_impl/{{name}}_impl.bit -of {{name}}.bit
226 """,
227 r"""
228 {{get_tool("ddtcmd")}}
229 -oft -svfsingle -revd -op "Fast Program"
230 -if {{name}}_impl/{{name}}_impl.bit -of {{name}}.svf
231 """,
232 ]
233
234 # Common logic
235
236 def __init__(self, *, toolchain="Trellis"):
237 super().__init__()
238
239 assert toolchain in ("Trellis", "Diamond")
240 self.toolchain = toolchain
241
242 @property
243 def file_templates(self):
244 if self.toolchain == "Trellis":
245 return self._trellis_file_templates
246 if self.toolchain == "Diamond":
247 return self._diamond_file_templates
248 assert False
249
250 @property
251 def command_templates(self):
252 if self.toolchain == "Trellis":
253 return self._trellis_command_templates
254 if self.toolchain == "Diamond":
255 return self._diamond_command_templates
256 assert False
257
258 def create_missing_domain(self, name):
259 # No additional reset logic needed.
260 return super().create_missing_domain(name)
261
262 _single_ended_io_types = [
263 "HSUL12", "LVCMOS12", "LVCMOS15", "LVCMOS18", "LVCMOS25", "LVCMOS33", "LVTTL33",
264 "SSTL135_I", "SSTL135_II", "SSTL15_I", "SSTL15_II", "SSTL18_I", "SSTL18_II",
265 ]
266 _differential_io_types = [
267 "BLVDS25", "BLVDS25E", "HSUL12D", "LVCMOS18D", "LVCMOS25D", "LVCMOS33D",
268 "LVDS", "LVDS25E", "LVPECL33", "LVPECL33E", "LVTTL33D", "MLVDS", "MLVDS25E",
269 "SLVS", "SSTL135D_II", "SSTL15D_II", "SSTL18D_II", "SUBLVDS",
270 ]
271
272 def should_skip_port_component(self, port, attrs, component):
273 # On ECP5, a differential IO is placed by only instantiating an IO buffer primitive at
274 # the PIOA or PIOC location, which is always the non-inverting pin.
275 if attrs.get("IO_TYPE", "LVCMOS25") in self._differential_io_types and component == "n":
276 return True
277 return False
278
279 def _get_xdr_buffer(self, m, pin, *, i_invert=False, o_invert=False):
280 def get_ireg(clk, d, q):
281 for bit in range(len(q)):
282 m.submodules += Instance("IFS1P3DX",
283 i_SCLK=clk,
284 i_SP=Const(1),
285 i_CD=Const(0),
286 i_D=d[bit],
287 o_Q=q[bit]
288 )
289
290 def get_oreg(clk, d, q):
291 for bit in range(len(q)):
292 m.submodules += Instance("OFS1P3DX",
293 i_SCLK=clk,
294 i_SP=Const(1),
295 i_CD=Const(0),
296 i_D=d[bit],
297 o_Q=q[bit]
298 )
299
300 def get_iddr(sclk, d, q0, q1):
301 for bit in range(len(d)):
302 m.submodules += Instance("IDDRX1F",
303 i_SCLK=sclk,
304 i_RST=Const(0),
305 i_D=d[bit],
306 o_Q0=q0[bit], o_Q1=q1[bit]
307 )
308
309 def get_oddr(sclk, d0, d1, q):
310 for bit in range(len(q)):
311 m.submodules += Instance("ODDRX1F",
312 i_SCLK=sclk,
313 i_RST=Const(0),
314 i_D0=d0[bit], i_D1=d1[bit],
315 o_Q=q[bit]
316 )
317
318 def get_ineg(z, invert):
319 if invert:
320 a = Signal.like(z, name_suffix="_n")
321 m.d.comb += z.eq(~a)
322 return a
323 else:
324 return z
325
326 def get_oneg(a, invert):
327 if invert:
328 z = Signal.like(a, name_suffix="_n")
329 m.d.comb += z.eq(~a)
330 return z
331 else:
332 return a
333
334 if "i" in pin.dir:
335 if pin.xdr < 2:
336 pin_i = get_ineg(pin.i, i_invert)
337 elif pin.xdr == 2:
338 pin_i0 = get_ineg(pin.i0, i_invert)
339 pin_i1 = get_ineg(pin.i1, i_invert)
340 if "o" in pin.dir:
341 if pin.xdr < 2:
342 pin_o = get_oneg(pin.o, o_invert)
343 elif pin.xdr == 2:
344 pin_o0 = get_oneg(pin.o0, o_invert)
345 pin_o1 = get_oneg(pin.o1, o_invert)
346
347 i = o = t = None
348 if "i" in pin.dir:
349 i = Signal(pin.width, name="{}_xdr_i".format(pin.name))
350 if "o" in pin.dir:
351 o = Signal(pin.width, name="{}_xdr_o".format(pin.name))
352 if pin.dir in ("oe", "io"):
353 t = Signal(1, name="{}_xdr_t".format(pin.name))
354
355 if pin.xdr == 0:
356 if "i" in pin.dir:
357 i = pin_i
358 if "o" in pin.dir:
359 o = pin_o
360 if pin.dir in ("oe", "io"):
361 t = ~pin_oe
362 elif pin.xdr == 1:
363 # Note that currently nextpnr will not pack an FF (*FS1P3DX) into the PIO.
364 if "i" in pin.dir:
365 get_ireg(pin.i_clk, i, pin_i)
366 if "o" in pin.dir:
367 get_oreg(pin.o_clk, pin_o, o)
368 if pin.dir in ("oe", "io"):
369 get_oreg(pin.o_clk, ~pin.oe, t)
370 elif pin.xdr == 2:
371 if "i" in pin.dir:
372 get_iddr(pin.i_clk, i, pin_i0, pin_i1)
373 if "o" in pin.dir:
374 get_oddr(pin.o_clk, pin_o0, pin_o1, o)
375 if pin.dir in ("oe", "io"):
376 # It looks like Diamond will not pack an OREG as a tristate register in a DDR PIO.
377 # It is not clear what is the recommended set of primitives for this task.
378 # Similarly, nextpnr will not pack anything as a tristate register in a DDR PIO.
379 get_oreg(pin.o_clk, ~pin.oe, t)
380 else:
381 assert False
382
383 return (i, o, t)
384
385 def get_input(self, pin, port, attrs, invert):
386 self._check_feature("single-ended input", pin, attrs,
387 valid_xdrs=(0, 1, 2), valid_attrs=True)
388 m = Module()
389 i, o, t = self._get_xdr_buffer(m, pin, i_invert=invert)
390 for bit in range(len(port)):
391 m.submodules["{}_{}".format(pin.name, bit)] = Instance("IB",
392 i_I=port[bit],
393 o_O=i[bit]
394 )
395 return m
396
397 def get_output(self, pin, port, attrs, invert):
398 self._check_feature("single-ended output", pin, attrs,
399 valid_xdrs=(0, 1, 2), valid_attrs=True)
400 m = Module()
401 i, o, t = self._get_xdr_buffer(m, pin, o_invert=invert)
402 for bit in range(len(port)):
403 m.submodules["{}_{}".format(pin.name, bit)] = Instance("OB",
404 i_I=o[bit],
405 o_O=port[bit]
406 )
407 return m
408
409 def get_tristate(self, pin, port, attrs, invert):
410 self._check_feature("single-ended tristate", pin, attrs,
411 valid_xdrs=(0, 1, 2), valid_attrs=True)
412 m = Module()
413 i, o, t = self._get_xdr_buffer(m, pin, o_invert=invert)
414 for bit in range(len(port)):
415 m.submodules["{}_{}".format(pin.name, bit)] = Instance("OBZ",
416 i_T=t,
417 i_I=o[bit],
418 o_O=port[bit]
419 )
420 return m
421
422 def get_input_output(self, pin, port, attrs, invert):
423 self._check_feature("single-ended input/output", pin, attrs,
424 valid_xdrs=(0, 1, 2), valid_attrs=True)
425 m = Module()
426 i, o, t = self._get_xdr_buffer(m, pin, i_invert=invert, o_invert=invert)
427 for bit in range(len(port)):
428 m.submodules["{}_{}".format(pin.name, bit)] = Instance("BB",
429 i_T=t,
430 i_I=o[bit],
431 o_O=i[bit],
432 io_B=port[bit]
433 )
434 return m
435
436 def get_diff_input(self, pin, p_port, n_port, attrs, invert):
437 self._check_feature("differential input", pin, attrs,
438 valid_xdrs=(0, 1, 2), valid_attrs=True)
439 m = Module()
440 i, o, t = self._get_xdr_buffer(m, pin, i_invert=invert)
441 for bit in range(len(p_port)):
442 m.submodules["{}_{}".format(pin.name, bit)] = Instance("IB",
443 i_I=p_port[bit],
444 o_O=i[bit]
445 )
446 return m
447
448 def get_diff_output(self, pin, p_port, n_port, attrs, invert):
449 self._check_feature("differential output", pin, attrs,
450 valid_xdrs=(0, 1, 2), valid_attrs=True)
451 m = Module()
452 i, o, t = self._get_xdr_buffer(m, pin, o_invert=invert)
453 for bit in range(len(p_port)):
454 m.submodules["{}_{}".format(pin.name, bit)] = Instance("OB",
455 i_I=o[bit],
456 o_O=p_port[bit],
457 )
458 return m
459
460 def get_diff_tristate(self, pin, p_port, n_port, attrs, invert):
461 self._check_feature("differential tristate", pin, attrs,
462 valid_xdrs=(0, 1, 2), valid_attrs=True)
463 m = Module()
464 i, o, t = self._get_xdr_buffer(m, pin, o_invert=invert)
465 for bit in range(len(p_port)):
466 m.submodules["{}_{}".format(pin.name, bit)] = Instance("OBZ",
467 i_T=t,
468 i_I=o[bit],
469 o_O=p_port[bit],
470 )
471 return m
472
473 def get_diff_input_output(self, pin, p_port, n_port, attrs, invert):
474 self._check_feature("differential input/output", pin, attrs,
475 valid_xdrs=(0, 1, 2), valid_attrs=True)
476 m = Module()
477 i, o, t = self._get_xdr_buffer(m, pin, i_invert=invert, o_invert=invert)
478 for bit in range(len(p_port)):
479 m.submodules["{}_{}".format(pin.name, bit)] = Instance("BB",
480 i_T=t,
481 i_I=o[bit],
482 o_O=i[bit],
483 io_B=p_port[bit],
484 )
485 return m