1 from abc
import abstractproperty
7 __all__
= ["LatticeECP5Platform"]
10 class LatticeECP5Platform(TemplatedPlatform
):
20 The environment is populated by running the script specified in the environment variable
21 ``NMIGEN_Trellis_env``, if present.
24 * ``verbose``: enables logging of informational messages to standard error.
25 * ``read_verilog_opts``: adds options for ``read_verilog`` Yosys command.
26 * ``synth_opts``: adds options for ``synth_ecp5`` Yosys command.
27 * ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script.
28 * ``script_after_synth``: inserts commands after ``synth_ecp5`` in Yosys script.
29 * ``yosys_opts``: adds extra options for ``yosys``.
30 * ``nextpnr_opts``: adds extra options for ``nextpnr-ecp5``.
31 * ``ecppack_opts``: adds extra options for ``ecppack``.
34 * ``{{name}}.rpt``: Yosys log.
35 * ``{{name}}.json``: synthesized RTL.
36 * ``{{name}}.tim``: nextpnr log.
37 * ``{{name}}.config``: ASCII bitstream.
38 * ``{{name}}.bit``: binary bitstream.
39 * ``{{name}}.svf``: JTAG programming vector.
48 The environment is populated by running the script specified in the environment variable
49 ``NMIGEN_Diamond_env``, if present.
52 * ``script_project``: inserts commands before ``prj_project save`` in Tcl script.
53 * ``script_after_export``: inserts commands after ``prj_run Export`` in Tcl script.
54 * ``add_preferences``: inserts commands in LPF file.
55 * ``add_constraints``: inserts commands in XDC file.
58 * ``{{name}}_impl/{{name}}_impl.htm``: consolidated log.
59 * ``{{name}}.bit``: binary bitstream.
60 * ``{{name}}.svf``: JTAG programming vector.
63 toolchain
= None # selected when creating platform
65 device
= abstractproperty()
66 package
= abstractproperty()
67 speed
= abstractproperty()
68 grade
= "C" # [C]ommercial, [I]ndustrial
72 _nextpnr_device_options
= {
77 "LFE5UM-12F": "--um-25k",
78 "LFE5UM-25F": "--um-25k",
79 "LFE5UM-45F": "--um-45k",
80 "LFE5UM-85F": "--um-85k",
81 "LFE5UM5G-12F": "--um5g-25k",
82 "LFE5UM5G-25F": "--um5g-25k",
83 "LFE5UM5G-45F": "--um5g-45k",
84 "LFE5UM5G-85F": "--um5g-85k",
86 _nextpnr_package_options
= {
94 _trellis_file_templates
= {
95 **TemplatedPlatform
.build_script_templates
,
98 {{emit_design("rtlil")}}
102 {% for file in platform.iter_extra_files(".v") -%}
103 read_verilog {{get_override("read_opts")|options}} {{file}}
105 {% for file in platform.iter_extra_files(".sv") -%}
106 read_verilog -sv {{get_override("read_opts")|options}} {{file}}
108 read_ilang {{name}}.il
109 {{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
110 synth_ecp5 {{get_override("synth_opts")|options}} -top {{name}}
111 {{get_override("script_after_synth")|default("# (script_after_synth placeholder)")}}
112 write_json {{name}}.json
118 {% for port_name, pin_name, extras in platform.iter_port_constraints_bits() -%}
119 LOCATE COMP "{{port_name}}" SITE "{{pin_name}}";
120 IOBUF PORT "{{port_name}}"
121 {%- for key, value in extras.items() %} {{key}}={{value}}{% endfor %};
123 {% for signal, frequency in platform.iter_clock_constraints() -%}
124 FREQUENCY PORT "{{signal.name}}" {{frequency}} HZ;
128 _trellis_command_templates
= [
130 {{get_tool("yosys")}}
132 {{get_override("yosys_opts")|options}}
137 {{get_tool("nextpnr-ecp5")}}
139 {{get_override("nextpnr_opts")|options}}
141 {{platform._nextpnr_device_options[platform.device]}}
142 --package {{platform._nextpnr_package_options[platform.package]|upper}}
143 --speed {{platform.speed}}
146 --textcfg {{name}}.config
149 {{get_tool("ecppack")}}
150 {{verbose("--verbose")}}
151 --input {{name}}.config
159 _diamond_file_templates
= {
160 **TemplatedPlatform
.build_script_templates
,
161 "build_{{name}}.sh": r
"""
163 set -e{{verbose("x")}}
164 if [ -z "$BASH" ] ; then exec /bin/bash "$0" "$@"; fi
165 if [ -n "$NMIGEN_{{platform.toolchain}}_env" ]; then
166 bindir=$(dirname "$NMIGEN_{{platform.toolchain}}_env")
167 . "$NMIGEN_{{platform.toolchain}}_env"
169 {{emit_commands("sh")}}
172 /* {{autogenerated}} */
173 {{emit_design("verilog")}}
176 prj_project new -name "{{name}}" -impl "impl" -impl_dir "top_impl" \
177 -dev {{platform.device}}-{{platform.speed}}{{platform.package}}{{platform.grade}} \
178 -lpf "{{name}}.lpf" \
180 {% for file in platform.iter_extra_files(".v", ".sv", ".vhd", ".vhdl") -%}
181 prj_src add "{{file}}"
183 prj_src add "{{name}}.v"
184 prj_impl option top "{{name}}"
185 prj_src add "{{name}}.sdc"
186 {{get_override("script_project")|default("# (script_project placeholder)")}}
188 prj_run Synthesis -impl "impl" -forceAll
189 prj_run Translate -impl "impl" -forceAll
190 prj_run Map -impl "impl" -forceAll
191 prj_run PAR -impl "impl" -forceAll
192 prj_run Export -impl "impl" -forceAll -task Bitgen
193 {{get_override("script_after_export")|default("# (script_after_export placeholder)")}}
199 {% for port_name, pin_name, extras in platform.iter_port_constraints_bits() -%}
200 LOCATE COMP "{{port_name}}" SITE "{{pin_name}}";
201 IOBUF PORT "{{port_name}}"
202 {%- for key, value in extras.items() %} {{key}}={{value}}{% endfor %};
204 {% for signal, frequency in platform.iter_clock_constraints() -%}
205 FREQUENCY PORT "{{signal.name}}" {{frequency/1000000}} MHZ;
207 {{get_override("add_preferences")|default("# (add_preferences placeholder)")}}
210 {% for signal, frequency in platform.iter_clock_constraints() -%}
211 create_clock -period {{1000000000/frequency}} [get_ports {{signal.name}}]
213 {{get_override("add_constraints")|default("# (add_constraints placeholder)")}}
216 _diamond_command_templates
= [
217 # These don't have any usable command-line option overrides.
219 {{get_tool("pnmainc")}}
223 {{get_tool("ddtcmd")}}
225 -if {{name}}_impl/{{name}}_impl.bit -of {{name}}.bit
228 {{get_tool("ddtcmd")}}
229 -oft -svfsingle -revd -op "Fast Program"
230 -if {{name}}_impl/{{name}}_impl.bit -of {{name}}.svf
236 def __init__(self
, *, toolchain
="Trellis"):
239 assert toolchain
in ("Trellis", "Diamond")
240 self
.toolchain
= toolchain
243 def file_templates(self
):
244 if self
.toolchain
== "Trellis":
245 return self
._trellis
_file
_templates
246 if self
.toolchain
== "Diamond":
247 return self
._diamond
_file
_templates
251 def command_templates(self
):
252 if self
.toolchain
== "Trellis":
253 return self
._trellis
_command
_templates
254 if self
.toolchain
== "Diamond":
255 return self
._diamond
_command
_templates
258 def create_missing_domain(self
, name
):
259 # No additional reset logic needed.
260 return super().create_missing_domain(name
)
262 _single_ended_io_types
= [
263 "HSUL12", "LVCMOS12", "LVCMOS15", "LVCMOS18", "LVCMOS25", "LVCMOS33", "LVTTL33",
264 "SSTL135_I", "SSTL135_II", "SSTL15_I", "SSTL15_II", "SSTL18_I", "SSTL18_II",
266 _differential_io_types
= [
267 "BLVDS25", "BLVDS25E", "HSUL12D", "LVCMOS18D", "LVCMOS25D", "LVCMOS33D",
268 "LVDS", "LVDS25E", "LVPECL33", "LVPECL33E", "LVTTL33D", "MLVDS", "MLVDS25E",
269 "SLVS", "SSTL135D_II", "SSTL15D_II", "SSTL18D_II", "SUBLVDS",
272 def should_skip_port_component(self
, port
, attrs
, component
):
273 # On ECP5, a differential IO is placed by only instantiating an IO buffer primitive at
274 # the PIOA or PIOC location, which is always the non-inverting pin.
275 if attrs
.get("IO_TYPE", "LVCMOS25") in self
._differential
_io
_types
and component
== "n":
279 def _get_xdr_buffer(self
, m
, pin
, *, i_invert
=False, o_invert
=False):
280 def get_ireg(clk
, d
, q
):
281 for bit
in range(len(q
)):
282 m
.submodules
+= Instance("IFS1P3DX",
290 def get_oreg(clk
, d
, q
):
291 for bit
in range(len(q
)):
292 m
.submodules
+= Instance("OFS1P3DX",
300 def get_iddr(sclk
, d
, q0
, q1
):
301 for bit
in range(len(d
)):
302 m
.submodules
+= Instance("IDDRX1F",
306 o_Q0
=q0
[bit
], o_Q1
=q1
[bit
]
309 def get_oddr(sclk
, d0
, d1
, q
):
310 for bit
in range(len(q
)):
311 m
.submodules
+= Instance("ODDRX1F",
314 i_D0
=d0
[bit
], i_D1
=d1
[bit
],
318 def get_ineg(z
, invert
):
320 a
= Signal
.like(z
, name_suffix
="_n")
326 def get_oneg(a
, invert
):
328 z
= Signal
.like(a
, name_suffix
="_n")
336 pin_i
= get_ineg(pin
.i
, i_invert
)
338 pin_i0
= get_ineg(pin
.i0
, i_invert
)
339 pin_i1
= get_ineg(pin
.i1
, i_invert
)
342 pin_o
= get_oneg(pin
.o
, o_invert
)
344 pin_o0
= get_oneg(pin
.o0
, o_invert
)
345 pin_o1
= get_oneg(pin
.o1
, o_invert
)
349 i
= Signal(pin
.width
, name
="{}_xdr_i".format(pin
.name
))
351 o
= Signal(pin
.width
, name
="{}_xdr_o".format(pin
.name
))
352 if pin
.dir in ("oe", "io"):
353 t
= Signal(1, name
="{}_xdr_t".format(pin
.name
))
360 if pin
.dir in ("oe", "io"):
363 # Note that currently nextpnr will not pack an FF (*FS1P3DX) into the PIO.
365 get_ireg(pin
.i_clk
, i
, pin_i
)
367 get_oreg(pin
.o_clk
, pin_o
, o
)
368 if pin
.dir in ("oe", "io"):
369 get_oreg(pin
.o_clk
, ~pin
.oe
, t
)
372 get_iddr(pin
.i_clk
, i
, pin_i0
, pin_i1
)
374 get_oddr(pin
.o_clk
, pin_o0
, pin_o1
, o
)
375 if pin
.dir in ("oe", "io"):
376 # It looks like Diamond will not pack an OREG as a tristate register in a DDR PIO.
377 # It is not clear what is the recommended set of primitives for this task.
378 # Similarly, nextpnr will not pack anything as a tristate register in a DDR PIO.
379 get_oreg(pin
.o_clk
, ~pin
.oe
, t
)
385 def get_input(self
, pin
, port
, attrs
, invert
):
386 self
._check
_feature
("single-ended input", pin
, attrs
,
387 valid_xdrs
=(0, 1, 2), valid_attrs
=True)
389 i
, o
, t
= self
._get
_xdr
_buffer
(m
, pin
, i_invert
=invert
)
390 for bit
in range(len(port
)):
391 m
.submodules
["{}_{}".format(pin
.name
, bit
)] = Instance("IB",
397 def get_output(self
, pin
, port
, attrs
, invert
):
398 self
._check
_feature
("single-ended output", pin
, attrs
,
399 valid_xdrs
=(0, 1, 2), valid_attrs
=True)
401 i
, o
, t
= self
._get
_xdr
_buffer
(m
, pin
, o_invert
=invert
)
402 for bit
in range(len(port
)):
403 m
.submodules
["{}_{}".format(pin
.name
, bit
)] = Instance("OB",
409 def get_tristate(self
, pin
, port
, attrs
, invert
):
410 self
._check
_feature
("single-ended tristate", pin
, attrs
,
411 valid_xdrs
=(0, 1, 2), valid_attrs
=True)
413 i
, o
, t
= self
._get
_xdr
_buffer
(m
, pin
, o_invert
=invert
)
414 for bit
in range(len(port
)):
415 m
.submodules
["{}_{}".format(pin
.name
, bit
)] = Instance("OBZ",
422 def get_input_output(self
, pin
, port
, attrs
, invert
):
423 self
._check
_feature
("single-ended input/output", pin
, attrs
,
424 valid_xdrs
=(0, 1, 2), valid_attrs
=True)
426 i
, o
, t
= self
._get
_xdr
_buffer
(m
, pin
, i_invert
=invert
, o_invert
=invert
)
427 for bit
in range(len(port
)):
428 m
.submodules
["{}_{}".format(pin
.name
, bit
)] = Instance("BB",
436 def get_diff_input(self
, pin
, p_port
, n_port
, attrs
, invert
):
437 self
._check
_feature
("differential input", pin
, attrs
,
438 valid_xdrs
=(0, 1, 2), valid_attrs
=True)
440 i
, o
, t
= self
._get
_xdr
_buffer
(m
, pin
, i_invert
=invert
)
441 for bit
in range(len(p_port
)):
442 m
.submodules
["{}_{}".format(pin
.name
, bit
)] = Instance("IB",
448 def get_diff_output(self
, pin
, p_port
, n_port
, attrs
, invert
):
449 self
._check
_feature
("differential output", pin
, attrs
,
450 valid_xdrs
=(0, 1, 2), valid_attrs
=True)
452 i
, o
, t
= self
._get
_xdr
_buffer
(m
, pin
, o_invert
=invert
)
453 for bit
in range(len(p_port
)):
454 m
.submodules
["{}_{}".format(pin
.name
, bit
)] = Instance("OB",
460 def get_diff_tristate(self
, pin
, p_port
, n_port
, attrs
, invert
):
461 self
._check
_feature
("differential tristate", pin
, attrs
,
462 valid_xdrs
=(0, 1, 2), valid_attrs
=True)
464 i
, o
, t
= self
._get
_xdr
_buffer
(m
, pin
, o_invert
=invert
)
465 for bit
in range(len(p_port
)):
466 m
.submodules
["{}_{}".format(pin
.name
, bit
)] = Instance("OBZ",
473 def get_diff_input_output(self
, pin
, p_port
, n_port
, attrs
, invert
):
474 self
._check
_feature
("differential input/output", pin
, attrs
,
475 valid_xdrs
=(0, 1, 2), valid_attrs
=True)
477 i
, o
, t
= self
._get
_xdr
_buffer
(m
, pin
, i_invert
=invert
, o_invert
=invert
)
478 for bit
in range(len(p_port
)):
479 m
.submodules
["{}_{}".format(pin
.name
, bit
)] = Instance("BB",