45011f70d1d6559a23d223265fe78dc9b3217670
[yosys.git] / passes / sat / example.v
1
2 module example(a, y);
3
4 input [15:0] a;
5 output y;
6
7 wire gt = a > 12345;
8 wire lt = a < 12345;
9 assign y = !gt && !lt;
10
11 endmodule
12