abc9: generate $abc9_holes design instead of <name>$holes
[yosys.git] / passes / techmap / abc.cc
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 */
19
20 // [[CITE]] ABC
21 // Berkeley Logic Synthesis and Verification Group, ABC: A System for Sequential Synthesis and Verification
22 // http://www.eecs.berkeley.edu/~alanmi/abc/
23
24 // [[CITE]] Berkeley Logic Interchange Format (BLIF)
25 // University of California. Berkeley. July 28, 1992
26 // http://www.ece.cmu.edu/~ee760/760docs/blif.pdf
27
28 // [[CITE]] Kahn's Topological sorting algorithm
29 // Kahn, Arthur B. (1962), "Topological sorting of large networks", Communications of the ACM 5 (11): 558-562, doi:10.1145/368996.369025
30 // http://en.wikipedia.org/wiki/Topological_sorting
31
32 #define ABC_COMMAND_LIB "strash; ifraig; scorr; dc2; dretime; strash; &get -n; &dch -f; &nf {D}; &put"
33 #define ABC_COMMAND_CTR "strash; ifraig; scorr; dc2; dretime; strash; &get -n; &dch -f; &nf {D}; &put; buffer; upsize {D}; dnsize {D}; stime -p"
34 #define ABC_COMMAND_LUT "strash; ifraig; scorr; dc2; dretime; strash; dch -f; if; mfs2"
35 #define ABC_COMMAND_SOP "strash; ifraig; scorr; dc2; dretime; strash; dch -f; cover {I} {P}"
36 #define ABC_COMMAND_DFL "strash; ifraig; scorr; dc2; dretime; strash; &get -n; &dch -f; &nf {D}; &put"
37
38 #define ABC_FAST_COMMAND_LIB "strash; dretime; map {D}"
39 #define ABC_FAST_COMMAND_CTR "strash; dretime; map {D}; buffer; upsize {D}; dnsize {D}; stime -p"
40 #define ABC_FAST_COMMAND_LUT "strash; dretime; if"
41 #define ABC_FAST_COMMAND_SOP "strash; dretime; cover -I {I} -P {P}"
42 #define ABC_FAST_COMMAND_DFL "strash; dretime; map"
43
44 #include "kernel/register.h"
45 #include "kernel/sigtools.h"
46 #include "kernel/celltypes.h"
47 #include "kernel/cost.h"
48 #include "kernel/log.h"
49 #include <stdlib.h>
50 #include <stdio.h>
51 #include <string.h>
52 #include <cctype>
53 #include <cerrno>
54 #include <sstream>
55 #include <climits>
56
57 #ifndef _WIN32
58 # include <unistd.h>
59 # include <dirent.h>
60 #endif
61
62 #include "frontends/blif/blifparse.h"
63
64 #ifdef YOSYS_LINK_ABC
65 extern "C" int Abc_RealMain(int argc, char *argv[]);
66 #endif
67
68 USING_YOSYS_NAMESPACE
69 PRIVATE_NAMESPACE_BEGIN
70
71 enum class gate_type_t {
72 G_NONE,
73 G_FF,
74 G_BUF,
75 G_NOT,
76 G_AND,
77 G_NAND,
78 G_OR,
79 G_NOR,
80 G_XOR,
81 G_XNOR,
82 G_ANDNOT,
83 G_ORNOT,
84 G_MUX,
85 G_NMUX,
86 G_AOI3,
87 G_OAI3,
88 G_AOI4,
89 G_OAI4
90 };
91
92 #define G(_name) gate_type_t::G_ ## _name
93
94 struct gate_t
95 {
96 int id;
97 gate_type_t type;
98 int in1, in2, in3, in4;
99 bool is_port;
100 RTLIL::SigBit bit;
101 RTLIL::State init;
102 };
103
104 bool map_mux4;
105 bool map_mux8;
106 bool map_mux16;
107
108 bool markgroups;
109 int map_autoidx;
110 SigMap assign_map;
111 RTLIL::Module *module;
112 std::vector<gate_t> signal_list;
113 std::map<RTLIL::SigBit, int> signal_map;
114 std::map<RTLIL::SigBit, RTLIL::State> signal_init;
115 pool<std::string> enabled_gates;
116 bool recover_init, cmos_cost;
117
118 bool clk_polarity, en_polarity;
119 RTLIL::SigSpec clk_sig, en_sig;
120 dict<int, std::string> pi_map, po_map;
121
122 int map_signal(RTLIL::SigBit bit, gate_type_t gate_type = G(NONE), int in1 = -1, int in2 = -1, int in3 = -1, int in4 = -1)
123 {
124 assign_map.apply(bit);
125
126 if (signal_map.count(bit) == 0) {
127 gate_t gate;
128 gate.id = signal_list.size();
129 gate.type = G(NONE);
130 gate.in1 = -1;
131 gate.in2 = -1;
132 gate.in3 = -1;
133 gate.in4 = -1;
134 gate.is_port = false;
135 gate.bit = bit;
136 if (signal_init.count(bit))
137 gate.init = signal_init.at(bit);
138 else
139 gate.init = State::Sx;
140 signal_list.push_back(gate);
141 signal_map[bit] = gate.id;
142 }
143
144 gate_t &gate = signal_list[signal_map[bit]];
145
146 if (gate_type != G(NONE))
147 gate.type = gate_type;
148 if (in1 >= 0)
149 gate.in1 = in1;
150 if (in2 >= 0)
151 gate.in2 = in2;
152 if (in3 >= 0)
153 gate.in3 = in3;
154 if (in4 >= 0)
155 gate.in4 = in4;
156
157 return gate.id;
158 }
159
160 void mark_port(RTLIL::SigSpec sig)
161 {
162 for (auto &bit : assign_map(sig))
163 if (bit.wire != nullptr && signal_map.count(bit) > 0)
164 signal_list[signal_map[bit]].is_port = true;
165 }
166
167 void extract_cell(RTLIL::Cell *cell, bool keepff)
168 {
169 if (cell->type.in(ID($_DFF_N_), ID($_DFF_P_)))
170 {
171 if (clk_polarity != (cell->type == ID($_DFF_P_)))
172 return;
173 if (clk_sig != assign_map(cell->getPort(ID::C)))
174 return;
175 if (GetSize(en_sig) != 0)
176 return;
177 goto matching_dff;
178 }
179
180 if (cell->type.in(ID($_DFFE_NN_), ID($_DFFE_NP_), ID($_DFFE_PN_), ID($_DFFE_PP_)))
181 {
182 if (clk_polarity != cell->type.in(ID($_DFFE_PN_), ID($_DFFE_PP_)))
183 return;
184 if (en_polarity != cell->type.in(ID($_DFFE_NP_), ID($_DFFE_PP_)))
185 return;
186 if (clk_sig != assign_map(cell->getPort(ID::C)))
187 return;
188 if (en_sig != assign_map(cell->getPort(ID::E)))
189 return;
190 goto matching_dff;
191 }
192
193 if (0) {
194 matching_dff:
195 RTLIL::SigSpec sig_d = cell->getPort(ID::D);
196 RTLIL::SigSpec sig_q = cell->getPort(ID::Q);
197
198 if (keepff)
199 for (auto &c : sig_q.chunks())
200 if (c.wire != nullptr)
201 c.wire->attributes[ID::keep] = 1;
202
203 assign_map.apply(sig_d);
204 assign_map.apply(sig_q);
205
206 map_signal(sig_q, G(FF), map_signal(sig_d));
207
208 module->remove(cell);
209 return;
210 }
211
212 if (cell->type.in(ID($_BUF_), ID($_NOT_)))
213 {
214 RTLIL::SigSpec sig_a = cell->getPort(ID::A);
215 RTLIL::SigSpec sig_y = cell->getPort(ID::Y);
216
217 assign_map.apply(sig_a);
218 assign_map.apply(sig_y);
219
220 map_signal(sig_y, cell->type == ID($_BUF_) ? G(BUF) : G(NOT), map_signal(sig_a));
221
222 module->remove(cell);
223 return;
224 }
225
226 if (cell->type.in(ID($_AND_), ID($_NAND_), ID($_OR_), ID($_NOR_), ID($_XOR_), ID($_XNOR_), ID($_ANDNOT_), ID($_ORNOT_)))
227 {
228 RTLIL::SigSpec sig_a = cell->getPort(ID::A);
229 RTLIL::SigSpec sig_b = cell->getPort(ID::B);
230 RTLIL::SigSpec sig_y = cell->getPort(ID::Y);
231
232 assign_map.apply(sig_a);
233 assign_map.apply(sig_b);
234 assign_map.apply(sig_y);
235
236 int mapped_a = map_signal(sig_a);
237 int mapped_b = map_signal(sig_b);
238
239 if (cell->type == ID($_AND_))
240 map_signal(sig_y, G(AND), mapped_a, mapped_b);
241 else if (cell->type == ID($_NAND_))
242 map_signal(sig_y, G(NAND), mapped_a, mapped_b);
243 else if (cell->type == ID($_OR_))
244 map_signal(sig_y, G(OR), mapped_a, mapped_b);
245 else if (cell->type == ID($_NOR_))
246 map_signal(sig_y, G(NOR), mapped_a, mapped_b);
247 else if (cell->type == ID($_XOR_))
248 map_signal(sig_y, G(XOR), mapped_a, mapped_b);
249 else if (cell->type == ID($_XNOR_))
250 map_signal(sig_y, G(XNOR), mapped_a, mapped_b);
251 else if (cell->type == ID($_ANDNOT_))
252 map_signal(sig_y, G(ANDNOT), mapped_a, mapped_b);
253 else if (cell->type == ID($_ORNOT_))
254 map_signal(sig_y, G(ORNOT), mapped_a, mapped_b);
255 else
256 log_abort();
257
258 module->remove(cell);
259 return;
260 }
261
262 if (cell->type.in(ID($_MUX_), ID($_NMUX_)))
263 {
264 RTLIL::SigSpec sig_a = cell->getPort(ID::A);
265 RTLIL::SigSpec sig_b = cell->getPort(ID::B);
266 RTLIL::SigSpec sig_s = cell->getPort(ID::S);
267 RTLIL::SigSpec sig_y = cell->getPort(ID::Y);
268
269 assign_map.apply(sig_a);
270 assign_map.apply(sig_b);
271 assign_map.apply(sig_s);
272 assign_map.apply(sig_y);
273
274 int mapped_a = map_signal(sig_a);
275 int mapped_b = map_signal(sig_b);
276 int mapped_s = map_signal(sig_s);
277
278 map_signal(sig_y, cell->type == ID($_MUX_) ? G(MUX) : G(NMUX), mapped_a, mapped_b, mapped_s);
279
280 module->remove(cell);
281 return;
282 }
283
284 if (cell->type.in(ID($_AOI3_), ID($_OAI3_)))
285 {
286 RTLIL::SigSpec sig_a = cell->getPort(ID::A);
287 RTLIL::SigSpec sig_b = cell->getPort(ID::B);
288 RTLIL::SigSpec sig_c = cell->getPort(ID::C);
289 RTLIL::SigSpec sig_y = cell->getPort(ID::Y);
290
291 assign_map.apply(sig_a);
292 assign_map.apply(sig_b);
293 assign_map.apply(sig_c);
294 assign_map.apply(sig_y);
295
296 int mapped_a = map_signal(sig_a);
297 int mapped_b = map_signal(sig_b);
298 int mapped_c = map_signal(sig_c);
299
300 map_signal(sig_y, cell->type == ID($_AOI3_) ? G(AOI3) : G(OAI3), mapped_a, mapped_b, mapped_c);
301
302 module->remove(cell);
303 return;
304 }
305
306 if (cell->type.in(ID($_AOI4_), ID($_OAI4_)))
307 {
308 RTLIL::SigSpec sig_a = cell->getPort(ID::A);
309 RTLIL::SigSpec sig_b = cell->getPort(ID::B);
310 RTLIL::SigSpec sig_c = cell->getPort(ID::C);
311 RTLIL::SigSpec sig_d = cell->getPort(ID::D);
312 RTLIL::SigSpec sig_y = cell->getPort(ID::Y);
313
314 assign_map.apply(sig_a);
315 assign_map.apply(sig_b);
316 assign_map.apply(sig_c);
317 assign_map.apply(sig_d);
318 assign_map.apply(sig_y);
319
320 int mapped_a = map_signal(sig_a);
321 int mapped_b = map_signal(sig_b);
322 int mapped_c = map_signal(sig_c);
323 int mapped_d = map_signal(sig_d);
324
325 map_signal(sig_y, cell->type == ID($_AOI4_) ? G(AOI4) : G(OAI4), mapped_a, mapped_b, mapped_c, mapped_d);
326
327 module->remove(cell);
328 return;
329 }
330 }
331
332 std::string remap_name(RTLIL::IdString abc_name, RTLIL::Wire **orig_wire = nullptr)
333 {
334 std::string abc_sname = abc_name.substr(1);
335 bool isnew = false;
336 if (abc_sname.compare(0, 4, "new_") == 0)
337 {
338 abc_sname.erase(0, 4);
339 isnew = true;
340 }
341 if (abc_sname.compare(0, 5, "ys__n") == 0)
342 {
343 abc_sname.erase(0, 5);
344 if (std::isdigit(abc_sname.at(0)))
345 {
346 int sid = std::atoi(abc_sname.c_str());
347 size_t postfix_start = abc_sname.find_first_not_of("0123456789");
348 std::string postfix = postfix_start != std::string::npos ? abc_sname.substr(postfix_start) : "";
349
350 if (sid < GetSize(signal_list))
351 {
352 auto sig = signal_list.at(sid);
353 if (sig.bit.wire != nullptr)
354 {
355 std::string s = stringf("$abc$%d$%s", map_autoidx, sig.bit.wire->name.c_str()+1);
356 if (sig.bit.wire->width != 1)
357 s += stringf("[%d]", sig.bit.offset);
358 if (isnew)
359 s += "_new";
360 s += postfix;
361 if (orig_wire != nullptr)
362 *orig_wire = sig.bit.wire;
363 return s;
364 }
365 }
366 }
367 }
368 return stringf("$abc$%d$%s", map_autoidx, abc_name.c_str()+1);
369 }
370
371 void dump_loop_graph(FILE *f, int &nr, std::map<int, std::set<int>> &edges, std::set<int> &workpool, std::vector<int> &in_counts)
372 {
373 if (f == nullptr)
374 return;
375
376 log("Dumping loop state graph to slide %d.\n", ++nr);
377
378 fprintf(f, "digraph \"slide%d\" {\n", nr);
379 fprintf(f, " label=\"slide%d\";\n", nr);
380 fprintf(f, " rankdir=\"TD\";\n");
381
382 std::set<int> nodes;
383 for (auto &e : edges) {
384 nodes.insert(e.first);
385 for (auto n : e.second)
386 nodes.insert(n);
387 }
388
389 for (auto n : nodes)
390 fprintf(f, " ys__n%d [label=\"%s\\nid=%d, count=%d\"%s];\n", n, log_signal(signal_list[n].bit),
391 n, in_counts[n], workpool.count(n) ? ", shape=box" : "");
392
393 for (auto &e : edges)
394 for (auto n : e.second)
395 fprintf(f, " ys__n%d -> ys__n%d;\n", e.first, n);
396
397 fprintf(f, "}\n");
398 }
399
400 void handle_loops()
401 {
402 // http://en.wikipedia.org/wiki/Topological_sorting
403 // (Kahn, Arthur B. (1962), "Topological sorting of large networks")
404
405 std::map<int, std::set<int>> edges;
406 std::vector<int> in_edges_count(signal_list.size());
407 std::set<int> workpool;
408
409 FILE *dot_f = nullptr;
410 int dot_nr = 0;
411
412 // uncomment for troubleshooting the loop detection code
413 // dot_f = fopen("test.dot", "w");
414
415 for (auto &g : signal_list) {
416 if (g.type == G(NONE) || g.type == G(FF)) {
417 workpool.insert(g.id);
418 } else {
419 if (g.in1 >= 0) {
420 edges[g.in1].insert(g.id);
421 in_edges_count[g.id]++;
422 }
423 if (g.in2 >= 0 && g.in2 != g.in1) {
424 edges[g.in2].insert(g.id);
425 in_edges_count[g.id]++;
426 }
427 if (g.in3 >= 0 && g.in3 != g.in2 && g.in3 != g.in1) {
428 edges[g.in3].insert(g.id);
429 in_edges_count[g.id]++;
430 }
431 if (g.in4 >= 0 && g.in4 != g.in3 && g.in4 != g.in2 && g.in4 != g.in1) {
432 edges[g.in4].insert(g.id);
433 in_edges_count[g.id]++;
434 }
435 }
436 }
437
438 dump_loop_graph(dot_f, dot_nr, edges, workpool, in_edges_count);
439
440 while (workpool.size() > 0)
441 {
442 int id = *workpool.begin();
443 workpool.erase(id);
444
445 // log("Removing non-loop node %d from graph: %s\n", id, log_signal(signal_list[id].bit));
446
447 for (int id2 : edges[id]) {
448 log_assert(in_edges_count[id2] > 0);
449 if (--in_edges_count[id2] == 0)
450 workpool.insert(id2);
451 }
452 edges.erase(id);
453
454 dump_loop_graph(dot_f, dot_nr, edges, workpool, in_edges_count);
455
456 while (workpool.size() == 0)
457 {
458 if (edges.size() == 0)
459 break;
460
461 int id1 = edges.begin()->first;
462
463 for (auto &edge_it : edges) {
464 int id2 = edge_it.first;
465 RTLIL::Wire *w1 = signal_list[id1].bit.wire;
466 RTLIL::Wire *w2 = signal_list[id2].bit.wire;
467 if (w1 == nullptr)
468 id1 = id2;
469 else if (w2 == nullptr)
470 continue;
471 else if (w1->name[0] == '$' && w2->name[0] == '\\')
472 id1 = id2;
473 else if (w1->name[0] == '\\' && w2->name[0] == '$')
474 continue;
475 else if (edges[id1].size() < edges[id2].size())
476 id1 = id2;
477 else if (edges[id1].size() > edges[id2].size())
478 continue;
479 else if (w2->name.str() < w1->name.str())
480 id1 = id2;
481 }
482
483 if (edges[id1].size() == 0) {
484 edges.erase(id1);
485 continue;
486 }
487
488 log_assert(signal_list[id1].bit.wire != nullptr);
489
490 std::stringstream sstr;
491 sstr << "$abcloop$" << (autoidx++);
492 RTLIL::Wire *wire = module->addWire(sstr.str());
493
494 bool first_line = true;
495 for (int id2 : edges[id1]) {
496 if (first_line)
497 log("Breaking loop using new signal %s: %s -> %s\n", log_signal(RTLIL::SigSpec(wire)),
498 log_signal(signal_list[id1].bit), log_signal(signal_list[id2].bit));
499 else
500 log(" %*s %s -> %s\n", int(strlen(log_signal(RTLIL::SigSpec(wire)))), "",
501 log_signal(signal_list[id1].bit), log_signal(signal_list[id2].bit));
502 first_line = false;
503 }
504
505 int id3 = map_signal(RTLIL::SigSpec(wire));
506 signal_list[id1].is_port = true;
507 signal_list[id3].is_port = true;
508 log_assert(id3 == int(in_edges_count.size()));
509 in_edges_count.push_back(0);
510 workpool.insert(id3);
511
512 for (int id2 : edges[id1]) {
513 if (signal_list[id2].in1 == id1)
514 signal_list[id2].in1 = id3;
515 if (signal_list[id2].in2 == id1)
516 signal_list[id2].in2 = id3;
517 if (signal_list[id2].in3 == id1)
518 signal_list[id2].in3 = id3;
519 if (signal_list[id2].in4 == id1)
520 signal_list[id2].in4 = id3;
521 }
522 edges[id1].swap(edges[id3]);
523
524 module->connect(RTLIL::SigSig(signal_list[id3].bit, signal_list[id1].bit));
525 dump_loop_graph(dot_f, dot_nr, edges, workpool, in_edges_count);
526 }
527 }
528
529 if (dot_f != nullptr)
530 fclose(dot_f);
531 }
532
533 std::string add_echos_to_abc_cmd(std::string str)
534 {
535 std::string new_str, token;
536 for (size_t i = 0; i < str.size(); i++) {
537 token += str[i];
538 if (str[i] == ';') {
539 while (i+1 < str.size() && str[i+1] == ' ')
540 i++;
541 new_str += "echo + " + token + " " + token + " ";
542 token.clear();
543 }
544 }
545
546 if (!token.empty()) {
547 if (!new_str.empty())
548 new_str += "echo + " + token + "; ";
549 new_str += token;
550 }
551
552 return new_str;
553 }
554
555 std::string fold_abc_cmd(std::string str)
556 {
557 std::string token, new_str = " ";
558 int char_counter = 10;
559
560 for (size_t i = 0; i <= str.size(); i++) {
561 if (i < str.size())
562 token += str[i];
563 if (i == str.size() || str[i] == ';') {
564 if (char_counter + token.size() > 75)
565 new_str += "\n ", char_counter = 14;
566 new_str += token, char_counter += token.size();
567 token.clear();
568 }
569 }
570
571 return new_str;
572 }
573
574 std::string replace_tempdir(std::string text, std::string tempdir_name, bool show_tempdir)
575 {
576 if (show_tempdir)
577 return text;
578
579 while (1) {
580 size_t pos = text.find(tempdir_name);
581 if (pos == std::string::npos)
582 break;
583 text = text.substr(0, pos) + "<abc-temp-dir>" + text.substr(pos + GetSize(tempdir_name));
584 }
585
586 std::string selfdir_name = proc_self_dirname();
587 if (selfdir_name != "/") {
588 while (1) {
589 size_t pos = text.find(selfdir_name);
590 if (pos == std::string::npos)
591 break;
592 text = text.substr(0, pos) + "<yosys-exe-dir>/" + text.substr(pos + GetSize(selfdir_name));
593 }
594 }
595
596 return text;
597 }
598
599 struct abc_output_filter
600 {
601 bool got_cr;
602 int escape_seq_state;
603 std::string linebuf;
604 std::string tempdir_name;
605 bool show_tempdir;
606
607 abc_output_filter(std::string tempdir_name, bool show_tempdir) : tempdir_name(tempdir_name), show_tempdir(show_tempdir)
608 {
609 got_cr = false;
610 escape_seq_state = 0;
611 }
612
613 void next_char(char ch)
614 {
615 if (escape_seq_state == 0 && ch == '\033') {
616 escape_seq_state = 1;
617 return;
618 }
619 if (escape_seq_state == 1) {
620 escape_seq_state = ch == '[' ? 2 : 0;
621 return;
622 }
623 if (escape_seq_state == 2) {
624 if ((ch < '0' || '9' < ch) && ch != ';')
625 escape_seq_state = 0;
626 return;
627 }
628 escape_seq_state = 0;
629 if (ch == '\r') {
630 got_cr = true;
631 return;
632 }
633 if (ch == '\n') {
634 log("ABC: %s\n", replace_tempdir(linebuf, tempdir_name, show_tempdir).c_str());
635 got_cr = false, linebuf.clear();
636 return;
637 }
638 if (got_cr)
639 got_cr = false, linebuf.clear();
640 linebuf += ch;
641 }
642
643 void next_line(const std::string &line)
644 {
645 int pi, po;
646 if (sscanf(line.c_str(), "Start-point = pi%d. End-point = po%d.", &pi, &po) == 2) {
647 log("ABC: Start-point = pi%d (%s). End-point = po%d (%s).\n",
648 pi, pi_map.count(pi) ? pi_map.at(pi).c_str() : "???",
649 po, po_map.count(po) ? po_map.at(po).c_str() : "???");
650 return;
651 }
652
653 for (char ch : line)
654 next_char(ch);
655 }
656 };
657
658 void abc_module(RTLIL::Design *design, RTLIL::Module *current_module, std::string script_file, std::string exe_file,
659 std::string liberty_file, std::string constr_file, bool cleanup, vector<int> lut_costs, bool dff_mode, std::string clk_str,
660 bool keepff, std::string delay_target, std::string sop_inputs, std::string sop_products, std::string lutin_shared, bool fast_mode,
661 const std::vector<RTLIL::Cell*> &cells, bool show_tempdir, bool sop_mode, bool abc_dress)
662 {
663 module = current_module;
664 map_autoidx = autoidx++;
665
666 signal_map.clear();
667 signal_list.clear();
668 pi_map.clear();
669 po_map.clear();
670 recover_init = false;
671
672 if (clk_str != "$")
673 {
674 clk_polarity = true;
675 clk_sig = RTLIL::SigSpec();
676
677 en_polarity = true;
678 en_sig = RTLIL::SigSpec();
679 }
680
681 if (!clk_str.empty() && clk_str != "$")
682 {
683 if (clk_str.find(',') != std::string::npos) {
684 int pos = clk_str.find(',');
685 std::string en_str = clk_str.substr(pos+1);
686 clk_str = clk_str.substr(0, pos);
687 if (en_str[0] == '!') {
688 en_polarity = false;
689 en_str = en_str.substr(1);
690 }
691 if (module->wire(RTLIL::escape_id(en_str)) != nullptr)
692 en_sig = assign_map(module->wire(RTLIL::escape_id(en_str)));
693 }
694 if (clk_str[0] == '!') {
695 clk_polarity = false;
696 clk_str = clk_str.substr(1);
697 }
698 if (module->wire(RTLIL::escape_id(clk_str)) != nullptr)
699 clk_sig = assign_map(module->wire(RTLIL::escape_id(clk_str)));
700 }
701
702 if (dff_mode && clk_sig.empty())
703 log_cmd_error("Clock domain %s not found.\n", clk_str.c_str());
704
705 std::string tempdir_name = "/tmp/" + proc_program_prefix()+ "yosys-abc-XXXXXX";
706 if (!cleanup)
707 tempdir_name[0] = tempdir_name[4] = '_';
708 tempdir_name = make_temp_dir(tempdir_name);
709 log_header(design, "Extracting gate netlist of module `%s' to `%s/input.blif'..\n",
710 module->name.c_str(), replace_tempdir(tempdir_name, tempdir_name, show_tempdir).c_str());
711
712 std::string abc_script = stringf("read_blif %s/input.blif; ", tempdir_name.c_str());
713
714 if (!liberty_file.empty()) {
715 abc_script += stringf("read_lib -w %s; ", liberty_file.c_str());
716 if (!constr_file.empty())
717 abc_script += stringf("read_constr -v %s; ", constr_file.c_str());
718 } else
719 if (!lut_costs.empty())
720 abc_script += stringf("read_lut %s/lutdefs.txt; ", tempdir_name.c_str());
721 else
722 abc_script += stringf("read_library %s/stdcells.genlib; ", tempdir_name.c_str());
723
724 if (!script_file.empty()) {
725 if (script_file[0] == '+') {
726 for (size_t i = 1; i < script_file.size(); i++)
727 if (script_file[i] == '\'')
728 abc_script += "'\\''";
729 else if (script_file[i] == ',')
730 abc_script += " ";
731 else
732 abc_script += script_file[i];
733 } else
734 abc_script += stringf("source %s", script_file.c_str());
735 } else if (!lut_costs.empty()) {
736 bool all_luts_cost_same = true;
737 for (int this_cost : lut_costs)
738 if (this_cost != lut_costs.front())
739 all_luts_cost_same = false;
740 abc_script += fast_mode ? ABC_FAST_COMMAND_LUT : ABC_COMMAND_LUT;
741 if (all_luts_cost_same && !fast_mode)
742 abc_script += "; lutpack {S}";
743 } else if (!liberty_file.empty())
744 abc_script += constr_file.empty() ? (fast_mode ? ABC_FAST_COMMAND_LIB : ABC_COMMAND_LIB) : (fast_mode ? ABC_FAST_COMMAND_CTR : ABC_COMMAND_CTR);
745 else if (sop_mode)
746 abc_script += fast_mode ? ABC_FAST_COMMAND_SOP : ABC_COMMAND_SOP;
747 else
748 abc_script += fast_mode ? ABC_FAST_COMMAND_DFL : ABC_COMMAND_DFL;
749
750 if (script_file.empty() && !delay_target.empty())
751 for (size_t pos = abc_script.find("dretime;"); pos != std::string::npos; pos = abc_script.find("dretime;", pos+1))
752 abc_script = abc_script.substr(0, pos) + "dretime; retime -o {D};" + abc_script.substr(pos+8);
753
754 for (size_t pos = abc_script.find("{D}"); pos != std::string::npos; pos = abc_script.find("{D}", pos))
755 abc_script = abc_script.substr(0, pos) + delay_target + abc_script.substr(pos+3);
756
757 for (size_t pos = abc_script.find("{I}"); pos != std::string::npos; pos = abc_script.find("{D}", pos))
758 abc_script = abc_script.substr(0, pos) + sop_inputs + abc_script.substr(pos+3);
759
760 for (size_t pos = abc_script.find("{P}"); pos != std::string::npos; pos = abc_script.find("{D}", pos))
761 abc_script = abc_script.substr(0, pos) + sop_products + abc_script.substr(pos+3);
762
763 for (size_t pos = abc_script.find("{S}"); pos != std::string::npos; pos = abc_script.find("{S}", pos))
764 abc_script = abc_script.substr(0, pos) + lutin_shared + abc_script.substr(pos+3);
765 if (abc_dress)
766 abc_script += "; dress";
767 abc_script += stringf("; write_blif %s/output.blif", tempdir_name.c_str());
768 abc_script = add_echos_to_abc_cmd(abc_script);
769
770 for (size_t i = 0; i+1 < abc_script.size(); i++)
771 if (abc_script[i] == ';' && abc_script[i+1] == ' ')
772 abc_script[i+1] = '\n';
773
774 std::string buffer = stringf("%s/abc.script", tempdir_name.c_str());
775 FILE *f = fopen(buffer.c_str(), "wt");
776 if (f == nullptr)
777 log_error("Opening %s for writing failed: %s\n", buffer.c_str(), strerror(errno));
778 fprintf(f, "%s\n", abc_script.c_str());
779 fclose(f);
780
781 if (dff_mode || !clk_str.empty())
782 {
783 if (clk_sig.size() == 0)
784 log("No%s clock domain found. Not extracting any FF cells.\n", clk_str.empty() ? "" : " matching");
785 else {
786 log("Found%s %s clock domain: %s", clk_str.empty() ? "" : " matching", clk_polarity ? "posedge" : "negedge", log_signal(clk_sig));
787 if (en_sig.size() != 0)
788 log(", enabled by %s%s", en_polarity ? "" : "!", log_signal(en_sig));
789 log("\n");
790 }
791 }
792
793 for (auto c : cells)
794 extract_cell(c, keepff);
795
796 for (auto wire : module->wires()) {
797 if (wire->port_id > 0 || wire->get_bool_attribute(ID::keep))
798 mark_port(wire);
799 }
800
801 for (auto cell : module->cells())
802 for (auto &port_it : cell->connections())
803 mark_port(port_it.second);
804
805 if (clk_sig.size() != 0)
806 mark_port(clk_sig);
807
808 if (en_sig.size() != 0)
809 mark_port(en_sig);
810
811 handle_loops();
812
813 buffer = stringf("%s/input.blif", tempdir_name.c_str());
814 f = fopen(buffer.c_str(), "wt");
815 if (f == nullptr)
816 log_error("Opening %s for writing failed: %s\n", buffer.c_str(), strerror(errno));
817
818 fprintf(f, ".model netlist\n");
819
820 int count_input = 0;
821 fprintf(f, ".inputs");
822 for (auto &si : signal_list) {
823 if (!si.is_port || si.type != G(NONE))
824 continue;
825 fprintf(f, " ys__n%d", si.id);
826 pi_map[count_input++] = log_signal(si.bit);
827 }
828 if (count_input == 0)
829 fprintf(f, " dummy_input\n");
830 fprintf(f, "\n");
831
832 int count_output = 0;
833 fprintf(f, ".outputs");
834 for (auto &si : signal_list) {
835 if (!si.is_port || si.type == G(NONE))
836 continue;
837 fprintf(f, " ys__n%d", si.id);
838 po_map[count_output++] = log_signal(si.bit);
839 }
840 fprintf(f, "\n");
841
842 for (auto &si : signal_list)
843 fprintf(f, "# ys__n%-5d %s\n", si.id, log_signal(si.bit));
844
845 for (auto &si : signal_list) {
846 if (si.bit.wire == nullptr) {
847 fprintf(f, ".names ys__n%d\n", si.id);
848 if (si.bit == RTLIL::State::S1)
849 fprintf(f, "1\n");
850 }
851 }
852
853 int count_gates = 0;
854 for (auto &si : signal_list) {
855 if (si.type == G(BUF)) {
856 fprintf(f, ".names ys__n%d ys__n%d\n", si.in1, si.id);
857 fprintf(f, "1 1\n");
858 } else if (si.type == G(NOT)) {
859 fprintf(f, ".names ys__n%d ys__n%d\n", si.in1, si.id);
860 fprintf(f, "0 1\n");
861 } else if (si.type == G(AND)) {
862 fprintf(f, ".names ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.id);
863 fprintf(f, "11 1\n");
864 } else if (si.type == G(NAND)) {
865 fprintf(f, ".names ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.id);
866 fprintf(f, "0- 1\n");
867 fprintf(f, "-0 1\n");
868 } else if (si.type == G(OR)) {
869 fprintf(f, ".names ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.id);
870 fprintf(f, "-1 1\n");
871 fprintf(f, "1- 1\n");
872 } else if (si.type == G(NOR)) {
873 fprintf(f, ".names ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.id);
874 fprintf(f, "00 1\n");
875 } else if (si.type == G(XOR)) {
876 fprintf(f, ".names ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.id);
877 fprintf(f, "01 1\n");
878 fprintf(f, "10 1\n");
879 } else if (si.type == G(XNOR)) {
880 fprintf(f, ".names ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.id);
881 fprintf(f, "00 1\n");
882 fprintf(f, "11 1\n");
883 } else if (si.type == G(ANDNOT)) {
884 fprintf(f, ".names ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.id);
885 fprintf(f, "10 1\n");
886 } else if (si.type == G(ORNOT)) {
887 fprintf(f, ".names ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.id);
888 fprintf(f, "1- 1\n");
889 fprintf(f, "-0 1\n");
890 } else if (si.type == G(MUX)) {
891 fprintf(f, ".names ys__n%d ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.in3, si.id);
892 fprintf(f, "1-0 1\n");
893 fprintf(f, "-11 1\n");
894 } else if (si.type == G(NMUX)) {
895 fprintf(f, ".names ys__n%d ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.in3, si.id);
896 fprintf(f, "0-0 1\n");
897 fprintf(f, "-01 1\n");
898 } else if (si.type == G(AOI3)) {
899 fprintf(f, ".names ys__n%d ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.in3, si.id);
900 fprintf(f, "-00 1\n");
901 fprintf(f, "0-0 1\n");
902 } else if (si.type == G(OAI3)) {
903 fprintf(f, ".names ys__n%d ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.in3, si.id);
904 fprintf(f, "00- 1\n");
905 fprintf(f, "--0 1\n");
906 } else if (si.type == G(AOI4)) {
907 fprintf(f, ".names ys__n%d ys__n%d ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.in3, si.in4, si.id);
908 fprintf(f, "-0-0 1\n");
909 fprintf(f, "-00- 1\n");
910 fprintf(f, "0--0 1\n");
911 fprintf(f, "0-0- 1\n");
912 } else if (si.type == G(OAI4)) {
913 fprintf(f, ".names ys__n%d ys__n%d ys__n%d ys__n%d ys__n%d\n", si.in1, si.in2, si.in3, si.in4, si.id);
914 fprintf(f, "00-- 1\n");
915 fprintf(f, "--00 1\n");
916 } else if (si.type == G(FF)) {
917 if (si.init == State::S0 || si.init == State::S1) {
918 fprintf(f, ".latch ys__n%d ys__n%d %d\n", si.in1, si.id, si.init == State::S1 ? 1 : 0);
919 recover_init = true;
920 } else
921 fprintf(f, ".latch ys__n%d ys__n%d 2\n", si.in1, si.id);
922 } else if (si.type != G(NONE))
923 log_abort();
924 if (si.type != G(NONE))
925 count_gates++;
926 }
927
928 fprintf(f, ".end\n");
929 fclose(f);
930
931 log("Extracted %d gates and %d wires to a netlist network with %d inputs and %d outputs.\n",
932 count_gates, GetSize(signal_list), count_input, count_output);
933 log_push();
934 if (count_output > 0)
935 {
936 log_header(design, "Executing ABC.\n");
937
938 auto &cell_cost = cmos_cost ? CellCosts::cmos_gate_cost() : CellCosts::default_gate_cost();
939
940 buffer = stringf("%s/stdcells.genlib", tempdir_name.c_str());
941 f = fopen(buffer.c_str(), "wt");
942 if (f == nullptr)
943 log_error("Opening %s for writing failed: %s\n", buffer.c_str(), strerror(errno));
944 fprintf(f, "GATE ZERO 1 Y=CONST0;\n");
945 fprintf(f, "GATE ONE 1 Y=CONST1;\n");
946 fprintf(f, "GATE BUF %d Y=A; PIN * NONINV 1 999 1 0 1 0\n", cell_cost.at(ID($_BUF_)));
947 fprintf(f, "GATE NOT %d Y=!A; PIN * INV 1 999 1 0 1 0\n", cell_cost.at(ID($_NOT_)));
948 if (enabled_gates.count("AND"))
949 fprintf(f, "GATE AND %d Y=A*B; PIN * NONINV 1 999 1 0 1 0\n", cell_cost.at(ID($_AND_)));
950 if (enabled_gates.count("NAND"))
951 fprintf(f, "GATE NAND %d Y=!(A*B); PIN * INV 1 999 1 0 1 0\n", cell_cost.at(ID($_NAND_)));
952 if (enabled_gates.count("OR"))
953 fprintf(f, "GATE OR %d Y=A+B; PIN * NONINV 1 999 1 0 1 0\n", cell_cost.at(ID($_OR_)));
954 if (enabled_gates.count("NOR"))
955 fprintf(f, "GATE NOR %d Y=!(A+B); PIN * INV 1 999 1 0 1 0\n", cell_cost.at(ID($_NOR_)));
956 if (enabled_gates.count("XOR"))
957 fprintf(f, "GATE XOR %d Y=(A*!B)+(!A*B); PIN * UNKNOWN 1 999 1 0 1 0\n", cell_cost.at(ID($_XOR_)));
958 if (enabled_gates.count("XNOR"))
959 fprintf(f, "GATE XNOR %d Y=(A*B)+(!A*!B); PIN * UNKNOWN 1 999 1 0 1 0\n", cell_cost.at(ID($_XNOR_)));
960 if (enabled_gates.count("ANDNOT"))
961 fprintf(f, "GATE ANDNOT %d Y=A*!B; PIN * UNKNOWN 1 999 1 0 1 0\n", cell_cost.at(ID($_ANDNOT_)));
962 if (enabled_gates.count("ORNOT"))
963 fprintf(f, "GATE ORNOT %d Y=A+!B; PIN * UNKNOWN 1 999 1 0 1 0\n", cell_cost.at(ID($_ORNOT_)));
964 if (enabled_gates.count("AOI3"))
965 fprintf(f, "GATE AOI3 %d Y=!((A*B)+C); PIN * INV 1 999 1 0 1 0\n", cell_cost.at(ID($_AOI3_)));
966 if (enabled_gates.count("OAI3"))
967 fprintf(f, "GATE OAI3 %d Y=!((A+B)*C); PIN * INV 1 999 1 0 1 0\n", cell_cost.at(ID($_OAI3_)));
968 if (enabled_gates.count("AOI4"))
969 fprintf(f, "GATE AOI4 %d Y=!((A*B)+(C*D)); PIN * INV 1 999 1 0 1 0\n", cell_cost.at(ID($_AOI4_)));
970 if (enabled_gates.count("OAI4"))
971 fprintf(f, "GATE OAI4 %d Y=!((A+B)*(C+D)); PIN * INV 1 999 1 0 1 0\n", cell_cost.at(ID($_OAI4_)));
972 if (enabled_gates.count("MUX"))
973 fprintf(f, "GATE MUX %d Y=(A*B)+(S*B)+(!S*A); PIN * UNKNOWN 1 999 1 0 1 0\n", cell_cost.at(ID($_MUX_)));
974 if (enabled_gates.count("NMUX"))
975 fprintf(f, "GATE NMUX %d Y=!((A*B)+(S*B)+(!S*A)); PIN * UNKNOWN 1 999 1 0 1 0\n", cell_cost.at(ID($_NMUX_)));
976 if (map_mux4)
977 fprintf(f, "GATE MUX4 %d Y=(!S*!T*A)+(S*!T*B)+(!S*T*C)+(S*T*D); PIN * UNKNOWN 1 999 1 0 1 0\n", 2*cell_cost.at(ID($_MUX_)));
978 if (map_mux8)
979 fprintf(f, "GATE MUX8 %d Y=(!S*!T*!U*A)+(S*!T*!U*B)+(!S*T*!U*C)+(S*T*!U*D)+(!S*!T*U*E)+(S*!T*U*F)+(!S*T*U*G)+(S*T*U*H); PIN * UNKNOWN 1 999 1 0 1 0\n", 4*cell_cost.at(ID($_MUX_)));
980 if (map_mux16)
981 fprintf(f, "GATE MUX16 %d Y=(!S*!T*!U*!V*A)+(S*!T*!U*!V*B)+(!S*T*!U*!V*C)+(S*T*!U*!V*D)+(!S*!T*U*!V*E)+(S*!T*U*!V*F)+(!S*T*U*!V*G)+(S*T*U*!V*H)+(!S*!T*!U*V*I)+(S*!T*!U*V*J)+(!S*T*!U*V*K)+(S*T*!U*V*L)+(!S*!T*U*V*M)+(S*!T*U*V*N)+(!S*T*U*V*O)+(S*T*U*V*P); PIN * UNKNOWN 1 999 1 0 1 0\n", 8*cell_cost.at(ID($_MUX_)));
982 fclose(f);
983
984 if (!lut_costs.empty()) {
985 buffer = stringf("%s/lutdefs.txt", tempdir_name.c_str());
986 f = fopen(buffer.c_str(), "wt");
987 if (f == nullptr)
988 log_error("Opening %s for writing failed: %s\n", buffer.c_str(), strerror(errno));
989 for (int i = 0; i < GetSize(lut_costs); i++)
990 fprintf(f, "%d %d.00 1.00\n", i+1, lut_costs.at(i));
991 fclose(f);
992 }
993
994 buffer = stringf("%s -s -f %s/abc.script 2>&1", exe_file.c_str(), tempdir_name.c_str());
995 log("Running ABC command: %s\n", replace_tempdir(buffer, tempdir_name, show_tempdir).c_str());
996
997 #ifndef YOSYS_LINK_ABC
998 abc_output_filter filt(tempdir_name, show_tempdir);
999 int ret = run_command(buffer, std::bind(&abc_output_filter::next_line, filt, std::placeholders::_1));
1000 #else
1001 // These needs to be mutable, supposedly due to getopt
1002 char *abc_argv[5];
1003 string tmp_script_name = stringf("%s/abc.script", tempdir_name.c_str());
1004 abc_argv[0] = strdup(exe_file.c_str());
1005 abc_argv[1] = strdup("-s");
1006 abc_argv[2] = strdup("-f");
1007 abc_argv[3] = strdup(tmp_script_name.c_str());
1008 abc_argv[4] = 0;
1009 int ret = Abc_RealMain(4, abc_argv);
1010 free(abc_argv[0]);
1011 free(abc_argv[1]);
1012 free(abc_argv[2]);
1013 free(abc_argv[3]);
1014 #endif
1015 if (ret != 0)
1016 log_error("ABC: execution of command \"%s\" failed: return code %d.\n", buffer.c_str(), ret);
1017
1018 buffer = stringf("%s/%s", tempdir_name.c_str(), "output.blif");
1019 std::ifstream ifs;
1020 ifs.open(buffer);
1021 if (ifs.fail())
1022 log_error("Can't open ABC output file `%s'.\n", buffer.c_str());
1023
1024 bool builtin_lib = liberty_file.empty();
1025 RTLIL::Design *mapped_design = new RTLIL::Design;
1026 parse_blif(mapped_design, ifs, builtin_lib ? ID(DFF) : ID(_dff_), false, sop_mode);
1027
1028 ifs.close();
1029
1030 log_header(design, "Re-integrating ABC results.\n");
1031 RTLIL::Module *mapped_mod = mapped_design->module(ID(netlist));
1032 if (mapped_mod == nullptr)
1033 log_error("ABC output file does not contain a module `netlist'.\n");
1034 for (auto w : mapped_mod->wires()) {
1035 RTLIL::Wire *orig_wire = nullptr;
1036 RTLIL::Wire *wire = module->addWire(remap_name(w->name, &orig_wire));
1037 if (orig_wire != nullptr && orig_wire->attributes.count(ID::src))
1038 wire->attributes[ID::src] = orig_wire->attributes[ID::src];
1039 if (markgroups) wire->attributes[ID::abcgroup] = map_autoidx;
1040 design->select(module, wire);
1041 }
1042
1043 std::map<std::string, int> cell_stats;
1044 for (auto c : mapped_mod->cells())
1045 {
1046 if (builtin_lib)
1047 {
1048 cell_stats[RTLIL::unescape_id(c->type)]++;
1049 if (c->type.in(ID(ZERO), ID(ONE))) {
1050 RTLIL::SigSig conn;
1051 RTLIL::IdString name_y = remap_name(c->getPort(ID::Y).as_wire()->name);
1052 conn.first = module->wire(name_y);
1053 conn.second = RTLIL::SigSpec(c->type == ID(ZERO) ? 0 : 1, 1);
1054 module->connect(conn);
1055 continue;
1056 }
1057 if (c->type == ID(BUF)) {
1058 RTLIL::SigSig conn;
1059 RTLIL::IdString name_y = remap_name(c->getPort(ID::Y).as_wire()->name);
1060 RTLIL::IdString name_a = remap_name(c->getPort(ID::A).as_wire()->name);
1061 conn.first = module->wire(name_y);
1062 conn.second = module->wire(name_a);
1063 module->connect(conn);
1064 continue;
1065 }
1066 if (c->type == ID(NOT)) {
1067 RTLIL::Cell *cell = module->addCell(remap_name(c->name), ID($_NOT_));
1068 if (markgroups) cell->attributes[ID::abcgroup] = map_autoidx;
1069 for (auto name : {ID::A, ID::Y}) {
1070 RTLIL::IdString remapped_name = remap_name(c->getPort(name).as_wire()->name);
1071 cell->setPort(name, module->wire(remapped_name));
1072 }
1073 design->select(module, cell);
1074 continue;
1075 }
1076 if (c->type.in(ID(AND), ID(OR), ID(XOR), ID(NAND), ID(NOR), ID(XNOR), ID(ANDNOT), ID(ORNOT))) {
1077 RTLIL::Cell *cell = module->addCell(remap_name(c->name), stringf("$_%s_", c->type.c_str()+1));
1078 if (markgroups) cell->attributes[ID::abcgroup] = map_autoidx;
1079 for (auto name : {ID::A, ID::B, ID::Y}) {
1080 RTLIL::IdString remapped_name = remap_name(c->getPort(name).as_wire()->name);
1081 cell->setPort(name, module->wire(remapped_name));
1082 }
1083 design->select(module, cell);
1084 continue;
1085 }
1086 if (c->type.in(ID(MUX), ID(NMUX))) {
1087 RTLIL::Cell *cell = module->addCell(remap_name(c->name), stringf("$_%s_", c->type.c_str()+1));
1088 if (markgroups) cell->attributes[ID::abcgroup] = map_autoidx;
1089 for (auto name : {ID::A, ID::B, ID::S, ID::Y}) {
1090 RTLIL::IdString remapped_name = remap_name(c->getPort(name).as_wire()->name);
1091 cell->setPort(name, module->wire(remapped_name));
1092 }
1093 design->select(module, cell);
1094 continue;
1095 }
1096 if (c->type == ID(MUX4)) {
1097 RTLIL::Cell *cell = module->addCell(remap_name(c->name), ID($_MUX4_));
1098 if (markgroups) cell->attributes[ID::abcgroup] = map_autoidx;
1099 for (auto name : {ID::A, ID::B, ID::C, ID::D, ID::S, ID::T, ID::Y}) {
1100 RTLIL::IdString remapped_name = remap_name(c->getPort(name).as_wire()->name);
1101 cell->setPort(name, module->wire(remapped_name));
1102 }
1103 design->select(module, cell);
1104 continue;
1105 }
1106 if (c->type == ID(MUX8)) {
1107 RTLIL::Cell *cell = module->addCell(remap_name(c->name), ID($_MUX8_));
1108 if (markgroups) cell->attributes[ID::abcgroup] = map_autoidx;
1109 for (auto name : {ID::A, ID::B, ID::C, ID::D, ID::E, ID::F, ID::G, ID::H, ID::S, ID::T, ID::U, ID::Y}) {
1110 RTLIL::IdString remapped_name = remap_name(c->getPort(name).as_wire()->name);
1111 cell->setPort(name, module->wire(remapped_name));
1112 }
1113 design->select(module, cell);
1114 continue;
1115 }
1116 if (c->type == ID(MUX16)) {
1117 RTLIL::Cell *cell = module->addCell(remap_name(c->name), ID($_MUX16_));
1118 if (markgroups) cell->attributes[ID::abcgroup] = map_autoidx;
1119 for (auto name : {ID::A, ID::B, ID::C, ID::D, ID::E, ID::F, ID::G, ID::H, ID::I, ID::J, ID::K,
1120 ID::L, ID::M, ID::N, ID::O, ID::P, ID::S, ID::T, ID::U, ID::V, ID::Y}) {
1121 RTLIL::IdString remapped_name = remap_name(c->getPort(name).as_wire()->name);
1122 cell->setPort(name, module->wire(remapped_name));
1123 }
1124 design->select(module, cell);
1125 continue;
1126 }
1127 if (c->type.in(ID(AOI3), ID(OAI3))) {
1128 RTLIL::Cell *cell = module->addCell(remap_name(c->name), stringf("$_%s_", c->type.c_str()+1));
1129 if (markgroups) cell->attributes[ID::abcgroup] = map_autoidx;
1130 for (auto name : {ID::A, ID::B, ID::C, ID::Y}) {
1131 RTLIL::IdString remapped_name = remap_name(c->getPort(name).as_wire()->name);
1132 cell->setPort(name, module->wire(remapped_name));
1133 }
1134 design->select(module, cell);
1135 continue;
1136 }
1137 if (c->type.in(ID(AOI4), ID(OAI4))) {
1138 RTLIL::Cell *cell = module->addCell(remap_name(c->name), stringf("$_%s_", c->type.c_str()+1));
1139 if (markgroups) cell->attributes[ID::abcgroup] = map_autoidx;
1140 for (auto name : {ID::A, ID::B, ID::C, ID::D, ID::Y}) {
1141 RTLIL::IdString remapped_name = remap_name(c->getPort(name).as_wire()->name);
1142 cell->setPort(name, module->wire(remapped_name));
1143 }
1144 design->select(module, cell);
1145 continue;
1146 }
1147 if (c->type == ID(DFF)) {
1148 log_assert(clk_sig.size() == 1);
1149 RTLIL::Cell *cell;
1150 if (en_sig.size() == 0) {
1151 cell = module->addCell(remap_name(c->name), clk_polarity ? ID($_DFF_P_) : ID($_DFF_N_));
1152 } else {
1153 log_assert(en_sig.size() == 1);
1154 cell = module->addCell(remap_name(c->name), stringf("$_DFFE_%c%c_", clk_polarity ? 'P' : 'N', en_polarity ? 'P' : 'N'));
1155 cell->setPort(ID::E, en_sig);
1156 }
1157 if (markgroups) cell->attributes[ID::abcgroup] = map_autoidx;
1158 for (auto name : {ID::D, ID::Q}) {
1159 RTLIL::IdString remapped_name = remap_name(c->getPort(name).as_wire()->name);
1160 cell->setPort(name, module->wire(remapped_name));
1161 }
1162 cell->setPort(ID::C, clk_sig);
1163 design->select(module, cell);
1164 continue;
1165 }
1166 }
1167 else
1168 cell_stats[RTLIL::unescape_id(c->type)]++;
1169
1170 if (c->type.in(ID(_const0_), ID(_const1_))) {
1171 RTLIL::SigSig conn;
1172 conn.first = module->wire(remap_name(c->connections().begin()->second.as_wire()->name));
1173 conn.second = RTLIL::SigSpec(c->type == ID(_const0_) ? 0 : 1, 1);
1174 module->connect(conn);
1175 continue;
1176 }
1177
1178 if (c->type == ID(_dff_)) {
1179 log_assert(clk_sig.size() == 1);
1180 RTLIL::Cell *cell;
1181 if (en_sig.size() == 0) {
1182 cell = module->addCell(remap_name(c->name), clk_polarity ? ID($_DFF_P_) : ID($_DFF_N_));
1183 } else {
1184 log_assert(en_sig.size() == 1);
1185 cell = module->addCell(remap_name(c->name), stringf("$_DFFE_%c%c_", clk_polarity ? 'P' : 'N', en_polarity ? 'P' : 'N'));
1186 cell->setPort(ID::E, en_sig);
1187 }
1188 if (markgroups) cell->attributes[ID::abcgroup] = map_autoidx;
1189 for (auto name : {ID::D, ID::Q}) {
1190 RTLIL::IdString remapped_name = remap_name(c->getPort(name).as_wire()->name);
1191 cell->setPort(name, module->wire(remapped_name));
1192 }
1193 cell->setPort(ID::C, clk_sig);
1194 design->select(module, cell);
1195 continue;
1196 }
1197
1198 if (c->type == ID($lut) && GetSize(c->getPort(ID::A)) == 1 && c->getParam(ID::LUT).as_int() == 2) {
1199 SigSpec my_a = module->wire(remap_name(c->getPort(ID::A).as_wire()->name));
1200 SigSpec my_y = module->wire(remap_name(c->getPort(ID::Y).as_wire()->name));
1201 module->connect(my_y, my_a);
1202 continue;
1203 }
1204
1205 RTLIL::Cell *cell = module->addCell(remap_name(c->name), c->type);
1206 if (markgroups) cell->attributes[ID::abcgroup] = map_autoidx;
1207 cell->parameters = c->parameters;
1208 for (auto &conn : c->connections()) {
1209 RTLIL::SigSpec newsig;
1210 for (auto &c : conn.second.chunks()) {
1211 if (c.width == 0)
1212 continue;
1213 log_assert(c.width == 1);
1214 newsig.append(module->wire(remap_name(c.wire->name)));
1215 }
1216 cell->setPort(conn.first, newsig);
1217 }
1218 design->select(module, cell);
1219 }
1220
1221 for (auto conn : mapped_mod->connections()) {
1222 if (!conn.first.is_fully_const())
1223 conn.first = module->wire(remap_name(conn.first.as_wire()->name));
1224 if (!conn.second.is_fully_const())
1225 conn.second = module->wire(remap_name(conn.second.as_wire()->name));
1226 module->connect(conn);
1227 }
1228
1229 if (recover_init)
1230 for (auto wire : mapped_mod->wires()) {
1231 if (wire->attributes.count(ID::init)) {
1232 Wire *w = module->wire(remap_name(wire->name));
1233 log_assert(w->attributes.count(ID::init) == 0);
1234 w->attributes[ID::init] = wire->attributes.at(ID::init);
1235 }
1236 }
1237
1238 for (auto &it : cell_stats)
1239 log("ABC RESULTS: %15s cells: %8d\n", it.first.c_str(), it.second);
1240 int in_wires = 0, out_wires = 0;
1241 for (auto &si : signal_list)
1242 if (si.is_port) {
1243 char buffer[100];
1244 snprintf(buffer, 100, "\\ys__n%d", si.id);
1245 RTLIL::SigSig conn;
1246 if (si.type != G(NONE)) {
1247 conn.first = si.bit;
1248 conn.second = module->wire(remap_name(buffer));
1249 out_wires++;
1250 } else {
1251 conn.first = module->wire(remap_name(buffer));
1252 conn.second = si.bit;
1253 in_wires++;
1254 }
1255 module->connect(conn);
1256 }
1257 log("ABC RESULTS: internal signals: %8d\n", int(signal_list.size()) - in_wires - out_wires);
1258 log("ABC RESULTS: input signals: %8d\n", in_wires);
1259 log("ABC RESULTS: output signals: %8d\n", out_wires);
1260
1261 delete mapped_design;
1262 }
1263 else
1264 {
1265 log("Don't call ABC as there is nothing to map.\n");
1266 }
1267
1268 if (cleanup)
1269 {
1270 log("Removing temp directory.\n");
1271 remove_directory(tempdir_name);
1272 }
1273
1274 log_pop();
1275 }
1276
1277 struct AbcPass : public Pass {
1278 AbcPass() : Pass("abc", "use ABC for technology mapping") { }
1279 void help() YS_OVERRIDE
1280 {
1281 // |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
1282 log("\n");
1283 log(" abc [options] [selection]\n");
1284 log("\n");
1285 log("This pass uses the ABC tool [1] for technology mapping of yosys's internal gate\n");
1286 log("library to a target architecture.\n");
1287 log("\n");
1288 log(" -exe <command>\n");
1289 #ifdef ABCEXTERNAL
1290 log(" use the specified command instead of \"" ABCEXTERNAL "\" to execute ABC.\n");
1291 #else
1292 log(" use the specified command instead of \"<yosys-bindir>/%syosys-abc\" to execute ABC.\n", proc_program_prefix().c_str());
1293 #endif
1294 log(" This can e.g. be used to call a specific version of ABC or a wrapper.\n");
1295 log("\n");
1296 log(" -script <file>\n");
1297 log(" use the specified ABC script file instead of the default script.\n");
1298 log("\n");
1299 log(" if <file> starts with a plus sign (+), then the rest of the filename\n");
1300 log(" string is interpreted as the command string to be passed to ABC. The\n");
1301 log(" leading plus sign is removed and all commas (,) in the string are\n");
1302 log(" replaced with blanks before the string is passed to ABC.\n");
1303 log("\n");
1304 log(" if no -script parameter is given, the following scripts are used:\n");
1305 log("\n");
1306 log(" for -liberty without -constr:\n");
1307 log("%s\n", fold_abc_cmd(ABC_COMMAND_LIB).c_str());
1308 log("\n");
1309 log(" for -liberty with -constr:\n");
1310 log("%s\n", fold_abc_cmd(ABC_COMMAND_CTR).c_str());
1311 log("\n");
1312 log(" for -lut/-luts (only one LUT size):\n");
1313 log("%s\n", fold_abc_cmd(ABC_COMMAND_LUT "; lutpack {S}").c_str());
1314 log("\n");
1315 log(" for -lut/-luts (different LUT sizes):\n");
1316 log("%s\n", fold_abc_cmd(ABC_COMMAND_LUT).c_str());
1317 log("\n");
1318 log(" for -sop:\n");
1319 log("%s\n", fold_abc_cmd(ABC_COMMAND_SOP).c_str());
1320 log("\n");
1321 log(" otherwise:\n");
1322 log("%s\n", fold_abc_cmd(ABC_COMMAND_DFL).c_str());
1323 log("\n");
1324 log(" -fast\n");
1325 log(" use different default scripts that are slightly faster (at the cost\n");
1326 log(" of output quality):\n");
1327 log("\n");
1328 log(" for -liberty without -constr:\n");
1329 log("%s\n", fold_abc_cmd(ABC_FAST_COMMAND_LIB).c_str());
1330 log("\n");
1331 log(" for -liberty with -constr:\n");
1332 log("%s\n", fold_abc_cmd(ABC_FAST_COMMAND_CTR).c_str());
1333 log("\n");
1334 log(" for -lut/-luts:\n");
1335 log("%s\n", fold_abc_cmd(ABC_FAST_COMMAND_LUT).c_str());
1336 log("\n");
1337 log(" for -sop:\n");
1338 log("%s\n", fold_abc_cmd(ABC_FAST_COMMAND_SOP).c_str());
1339 log("\n");
1340 log(" otherwise:\n");
1341 log("%s\n", fold_abc_cmd(ABC_FAST_COMMAND_DFL).c_str());
1342 log("\n");
1343 log(" -liberty <file>\n");
1344 log(" generate netlists for the specified cell library (using the liberty\n");
1345 log(" file format).\n");
1346 log("\n");
1347 log(" -constr <file>\n");
1348 log(" pass this file with timing constraints to ABC. use with -liberty.\n");
1349 log("\n");
1350 log(" a constr file contains two lines:\n");
1351 log(" set_driving_cell <cell_name>\n");
1352 log(" set_load <floating_point_number>\n");
1353 log("\n");
1354 log(" the set_driving_cell statement defines which cell type is assumed to\n");
1355 log(" drive the primary inputs and the set_load statement sets the load in\n");
1356 log(" femtofarads for each primary output.\n");
1357 log("\n");
1358 log(" -D <picoseconds>\n");
1359 log(" set delay target. the string {D} in the default scripts above is\n");
1360 log(" replaced by this option when used, and an empty string otherwise.\n");
1361 log(" this also replaces 'dretime' with 'dretime; retime -o {D}' in the\n");
1362 log(" default scripts above.\n");
1363 log("\n");
1364 log(" -I <num>\n");
1365 log(" maximum number of SOP inputs.\n");
1366 log(" (replaces {I} in the default scripts above)\n");
1367 log("\n");
1368 log(" -P <num>\n");
1369 log(" maximum number of SOP products.\n");
1370 log(" (replaces {P} in the default scripts above)\n");
1371 log("\n");
1372 log(" -S <num>\n");
1373 log(" maximum number of LUT inputs shared.\n");
1374 log(" (replaces {S} in the default scripts above, default: -S 1)\n");
1375 log("\n");
1376 log(" -lut <width>\n");
1377 log(" generate netlist using luts of (max) the specified width.\n");
1378 log("\n");
1379 log(" -lut <w1>:<w2>\n");
1380 log(" generate netlist using luts of (max) the specified width <w2>. All\n");
1381 log(" luts with width <= <w1> have constant cost. for luts larger than <w1>\n");
1382 log(" the area cost doubles with each additional input bit. the delay cost\n");
1383 log(" is still constant for all lut widths.\n");
1384 log("\n");
1385 log(" -luts <cost1>,<cost2>,<cost3>,<sizeN>:<cost4-N>,..\n");
1386 log(" generate netlist using luts. Use the specified costs for luts with 1,\n");
1387 log(" 2, 3, .. inputs.\n");
1388 log("\n");
1389 log(" -sop\n");
1390 log(" map to sum-of-product cells and inverters\n");
1391 log("\n");
1392 // log(" -mux4, -mux8, -mux16\n");
1393 // log(" try to extract 4-input, 8-input, and/or 16-input muxes\n");
1394 // log(" (ignored when used with -liberty or -lut)\n");
1395 // log("\n");
1396 log(" -g type1,type2,...\n");
1397 log(" Map to the specified list of gate types. Supported gates types are:\n");
1398 // |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
1399 log(" AND, NAND, OR, NOR, XOR, XNOR, ANDNOT, ORNOT, MUX,\n");
1400 log(" NMUX, AOI3, OAI3, AOI4, OAI4.\n");
1401 log(" (The NOT gate is always added to this list automatically.)\n");
1402 log("\n");
1403 log(" The following aliases can be used to reference common sets of gate types:\n");
1404 log(" simple: AND OR XOR MUX\n");
1405 log(" cmos2: NAND NOR\n");
1406 log(" cmos3: NAND NOR AOI3 OAI3\n");
1407 log(" cmos4: NAND NOR AOI3 OAI3 AOI4 OAI4\n");
1408 log(" cmos: NAND NOR AOI3 OAI3 AOI4 OAI4 NMUX MUX XOR XNOR\n");
1409 log(" gates: AND NAND OR NOR XOR XNOR ANDNOT ORNOT\n");
1410 log(" aig: AND NAND OR NOR ANDNOT ORNOT\n");
1411 log("\n");
1412 log(" The alias 'all' represent the full set of all gate types.\n");
1413 log("\n");
1414 log(" Prefix a gate type with a '-' to remove it from the list. For example\n");
1415 log(" the arguments 'AND,OR,XOR' and 'simple,-MUX' are equivalent.\n");
1416 log("\n");
1417 log(" The default is 'all,-NMUX,-AOI3,-OAI3,-AOI4,-OAI4'.\n");
1418 log("\n");
1419 log(" -dff\n");
1420 log(" also pass $_DFF_?_ and $_DFFE_??_ cells through ABC. modules with many\n");
1421 log(" clock domains are automatically partitioned in clock domains and each\n");
1422 log(" domain is passed through ABC independently.\n");
1423 log("\n");
1424 log(" -clk [!]<clock-signal-name>[,[!]<enable-signal-name>]\n");
1425 log(" use only the specified clock domain. this is like -dff, but only FF\n");
1426 log(" cells that belong to the specified clock domain are used.\n");
1427 log("\n");
1428 log(" -keepff\n");
1429 log(" set the \"keep\" attribute on flip-flop output wires. (and thus preserve\n");
1430 log(" them, for example for equivalence checking.)\n");
1431 log("\n");
1432 log(" -nocleanup\n");
1433 log(" when this option is used, the temporary files created by this pass\n");
1434 log(" are not removed. this is useful for debugging.\n");
1435 log("\n");
1436 log(" -showtmp\n");
1437 log(" print the temp dir name in log. usually this is suppressed so that the\n");
1438 log(" command output is identical across runs.\n");
1439 log("\n");
1440 log(" -markgroups\n");
1441 log(" set a 'abcgroup' attribute on all objects created by ABC. The value of\n");
1442 log(" this attribute is a unique integer for each ABC process started. This\n");
1443 log(" is useful for debugging the partitioning of clock domains.\n");
1444 log("\n");
1445 log(" -dress\n");
1446 log(" run the 'dress' command after all other ABC commands. This aims to\n");
1447 log(" preserve naming by an equivalence check between the original and post-ABC\n");
1448 log(" netlists (experimental).\n");
1449 log("\n");
1450 log("When neither -liberty nor -lut is used, the Yosys standard cell library is\n");
1451 log("loaded into ABC before the ABC script is executed.\n");
1452 log("\n");
1453 log("Note that this is a logic optimization pass within Yosys that is calling ABC\n");
1454 log("internally. This is not going to \"run ABC on your design\". It will instead run\n");
1455 log("ABC on logic snippets extracted from your design. You will not get any useful\n");
1456 log("output when passing an ABC script that writes a file. Instead write your full\n");
1457 log("design as BLIF file with write_blif and then load that into ABC externally if\n");
1458 log("you want to use ABC to convert your design into another format.\n");
1459 log("\n");
1460 log("[1] http://www.eecs.berkeley.edu/~alanmi/abc/\n");
1461 log("\n");
1462 }
1463 void execute(std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
1464 {
1465 log_header(design, "Executing ABC pass (technology mapping using ABC).\n");
1466 log_push();
1467
1468 assign_map.clear();
1469 signal_list.clear();
1470 signal_map.clear();
1471 signal_init.clear();
1472 pi_map.clear();
1473 po_map.clear();
1474
1475 #ifdef ABCEXTERNAL
1476 std::string exe_file = ABCEXTERNAL;
1477 #else
1478 std::string exe_file = proc_self_dirname() + proc_program_prefix() + "yosys-abc";
1479 #endif
1480 std::string script_file, liberty_file, constr_file, clk_str;
1481 std::string delay_target, sop_inputs, sop_products, lutin_shared = "-S 1";
1482 bool fast_mode = false, dff_mode = false, keepff = false, cleanup = true;
1483 bool show_tempdir = false, sop_mode = false;
1484 bool abc_dress = false;
1485 vector<int> lut_costs;
1486 markgroups = false;
1487
1488 map_mux4 = false;
1489 map_mux8 = false;
1490 map_mux16 = false;
1491 enabled_gates.clear();
1492 cmos_cost = false;
1493
1494 #ifdef _WIN32
1495 #ifndef ABCEXTERNAL
1496 if (!check_file_exists(exe_file + ".exe") && check_file_exists(proc_self_dirname() + "..\\" + proc_program_prefix()+ "yosys-abc.exe"))
1497 exe_file = proc_self_dirname() + "..\\" + proc_program_prefix() + "yosys-abc";
1498 #endif
1499 #endif
1500
1501 // get arguments from scratchpad first, then override by command arguments
1502 std::string lut_arg, luts_arg, g_arg;
1503 exe_file = design->scratchpad_get_string("abc.exe", exe_file /* inherit default value if not set */);
1504 script_file = design->scratchpad_get_string("abc.script", script_file);
1505 liberty_file = design->scratchpad_get_string("abc.liberty", liberty_file);
1506 constr_file = design->scratchpad_get_string("abc.constr", constr_file);
1507 if (design->scratchpad.count("abc.D")) {
1508 delay_target = "-D " + design->scratchpad_get_string("abc.D");
1509 }
1510 if (design->scratchpad.count("abc.I")) {
1511 sop_inputs = "-I " + design->scratchpad_get_string("abc.I");
1512 }
1513 if (design->scratchpad.count("abc.P")) {
1514 sop_products = "-P " + design->scratchpad_get_string("abc.P");
1515 }
1516 if (design->scratchpad.count("abc.S")) {
1517 lutin_shared = "-S " + design->scratchpad_get_string("abc.S");
1518 }
1519 lut_arg = design->scratchpad_get_string("abc.lut", lut_arg);
1520 luts_arg = design->scratchpad_get_string("abc.luts", luts_arg);
1521 sop_mode = design->scratchpad_get_bool("abc.sop", sop_mode);
1522 map_mux4 = design->scratchpad_get_bool("abc.mux4", map_mux4);
1523 map_mux8 = design->scratchpad_get_bool("abc.mux8", map_mux8);
1524 map_mux16 = design->scratchpad_get_bool("abc.mux16", map_mux16);
1525 abc_dress = design->scratchpad_get_bool("abc.dress", abc_dress);
1526 g_arg = design->scratchpad_get_string("abc.g", g_arg);
1527
1528 fast_mode = design->scratchpad_get_bool("abc.fast", fast_mode);
1529 dff_mode = design->scratchpad_get_bool("abc.dff", dff_mode);
1530 if (design->scratchpad.count("abc.clk")) {
1531 clk_str = design->scratchpad_get_string("abc.clk");
1532 dff_mode = true;
1533 }
1534 keepff = design->scratchpad_get_bool("abc.keepff", keepff);
1535 cleanup = !design->scratchpad_get_bool("abc.nocleanup", !cleanup);
1536 keepff = design->scratchpad_get_bool("abc.keepff", keepff);
1537 show_tempdir = design->scratchpad_get_bool("abc.showtmp", show_tempdir);
1538 markgroups = design->scratchpad_get_bool("abc.markgroups", markgroups);
1539
1540 if (design->scratchpad_get_bool("abc.debug")) {
1541 cleanup = false;
1542 show_tempdir = true;
1543 }
1544
1545 size_t argidx, g_argidx;
1546 bool g_arg_from_cmd = false;
1547 #if defined(__wasm)
1548 const char *pwd = ".";
1549 #else
1550 char pwd [PATH_MAX];
1551 if (!getcwd(pwd, sizeof(pwd))) {
1552 log_cmd_error("getcwd failed: %s\n", strerror(errno));
1553 log_abort();
1554 }
1555 #endif
1556 for (argidx = 1; argidx < args.size(); argidx++) {
1557 std::string arg = args[argidx];
1558 if (arg == "-exe" && argidx+1 < args.size()) {
1559 exe_file = args[++argidx];
1560 continue;
1561 }
1562 if (arg == "-script" && argidx+1 < args.size()) {
1563 script_file = args[++argidx];
1564 continue;
1565 }
1566 if (arg == "-liberty" && argidx+1 < args.size()) {
1567 liberty_file = args[++argidx];
1568 continue;
1569 }
1570 if (arg == "-constr" && argidx+1 < args.size()) {
1571 constr_file = args[++argidx];
1572 continue;
1573 }
1574 if (arg == "-D" && argidx+1 < args.size()) {
1575 delay_target = "-D " + args[++argidx];
1576 continue;
1577 }
1578 if (arg == "-I" && argidx+1 < args.size()) {
1579 sop_inputs = "-I " + args[++argidx];
1580 continue;
1581 }
1582 if (arg == "-P" && argidx+1 < args.size()) {
1583 sop_products = "-P " + args[++argidx];
1584 continue;
1585 }
1586 if (arg == "-S" && argidx+1 < args.size()) {
1587 lutin_shared = "-S " + args[++argidx];
1588 continue;
1589 }
1590 if (arg == "-lut" && argidx+1 < args.size()) {
1591 lut_arg = args[++argidx];
1592 continue;
1593 }
1594 if (arg == "-luts" && argidx+1 < args.size()) {
1595 luts_arg = args[++argidx];
1596 continue;
1597 }
1598 if (arg == "-sop") {
1599 sop_mode = true;
1600 continue;
1601 }
1602 if (arg == "-mux4") {
1603 map_mux4 = true;
1604 continue;
1605 }
1606 if (arg == "-mux8") {
1607 map_mux8 = true;
1608 continue;
1609 }
1610 if (arg == "-mux16") {
1611 map_mux16 = true;
1612 continue;
1613 }
1614 if (arg == "-dress") {
1615 abc_dress = true;
1616 continue;
1617 }
1618 if (arg == "-g" && argidx+1 < args.size()) {
1619 if (g_arg_from_cmd)
1620 log_cmd_error("Can only use -g once. Please combine.");
1621 g_arg = args[++argidx];
1622 g_argidx = argidx;
1623 g_arg_from_cmd = true;
1624 continue;
1625 }
1626 if (arg == "-fast") {
1627 fast_mode = true;
1628 continue;
1629 }
1630 if (arg == "-dff") {
1631 dff_mode = true;
1632 continue;
1633 }
1634 if (arg == "-clk" && argidx+1 < args.size()) {
1635 clk_str = args[++argidx];
1636 dff_mode = true;
1637 continue;
1638 }
1639 if (arg == "-keepff") {
1640 keepff = true;
1641 continue;
1642 }
1643 if (arg == "-nocleanup") {
1644 cleanup = false;
1645 continue;
1646 }
1647 if (arg == "-showtmp") {
1648 show_tempdir = true;
1649 continue;
1650 }
1651 if (arg == "-markgroups") {
1652 markgroups = true;
1653 continue;
1654 }
1655 break;
1656 }
1657 extra_args(args, argidx, design);
1658
1659 rewrite_filename(script_file);
1660 if (!script_file.empty() && !is_absolute_path(script_file) && script_file[0] != '+')
1661 script_file = std::string(pwd) + "/" + script_file;
1662 rewrite_filename(liberty_file);
1663 if (!liberty_file.empty() && !is_absolute_path(liberty_file))
1664 liberty_file = std::string(pwd) + "/" + liberty_file;
1665 rewrite_filename(constr_file);
1666 if (!constr_file.empty() && !is_absolute_path(constr_file))
1667 constr_file = std::string(pwd) + "/" + constr_file;
1668
1669 // handle -lut argument
1670 if (!lut_arg.empty()) {
1671 size_t pos = lut_arg.find_first_of(':');
1672 int lut_mode = 0, lut_mode2 = 0;
1673 if (pos != string::npos) {
1674 lut_mode = atoi(lut_arg.substr(0, pos).c_str());
1675 lut_mode2 = atoi(lut_arg.substr(pos+1).c_str());
1676 } else {
1677 lut_mode = atoi(lut_arg.c_str());
1678 lut_mode2 = lut_mode;
1679 }
1680 lut_costs.clear();
1681 for (int i = 0; i < lut_mode; i++)
1682 lut_costs.push_back(1);
1683 for (int i = lut_mode; i < lut_mode2; i++)
1684 lut_costs.push_back(2 << (i - lut_mode));
1685 }
1686 //handle -luts argument
1687 if (!luts_arg.empty()){
1688 lut_costs.clear();
1689 for (auto &tok : split_tokens(luts_arg, ",")) {
1690 auto parts = split_tokens(tok, ":");
1691 if (GetSize(parts) == 0 && !lut_costs.empty())
1692 lut_costs.push_back(lut_costs.back());
1693 else if (GetSize(parts) == 1)
1694 lut_costs.push_back(atoi(parts.at(0).c_str()));
1695 else if (GetSize(parts) == 2)
1696 while (GetSize(lut_costs) < std::atoi(parts.at(0).c_str()))
1697 lut_costs.push_back(atoi(parts.at(1).c_str()));
1698 else
1699 log_cmd_error("Invalid -luts syntax.\n");
1700 }
1701 }
1702
1703 // handle -g argument
1704 if (!g_arg.empty()){
1705 for (auto g : split_tokens(g_arg, ",")) {
1706 vector<string> gate_list;
1707 bool remove_gates = false;
1708 if (GetSize(g) > 0 && g[0] == '-') {
1709 remove_gates = true;
1710 g = g.substr(1);
1711 }
1712 if (g == "AND") goto ok_gate;
1713 if (g == "NAND") goto ok_gate;
1714 if (g == "OR") goto ok_gate;
1715 if (g == "NOR") goto ok_gate;
1716 if (g == "XOR") goto ok_gate;
1717 if (g == "XNOR") goto ok_gate;
1718 if (g == "ANDNOT") goto ok_gate;
1719 if (g == "ORNOT") goto ok_gate;
1720 if (g == "MUX") goto ok_gate;
1721 if (g == "NMUX") goto ok_gate;
1722 if (g == "AOI3") goto ok_gate;
1723 if (g == "OAI3") goto ok_gate;
1724 if (g == "AOI4") goto ok_gate;
1725 if (g == "OAI4") goto ok_gate;
1726 if (g == "simple") {
1727 gate_list.push_back("AND");
1728 gate_list.push_back("OR");
1729 gate_list.push_back("XOR");
1730 gate_list.push_back("MUX");
1731 goto ok_alias;
1732 }
1733 if (g == "cmos2") {
1734 if (!remove_gates)
1735 cmos_cost = true;
1736 gate_list.push_back("NAND");
1737 gate_list.push_back("NOR");
1738 goto ok_alias;
1739 }
1740 if (g == "cmos3") {
1741 if (!remove_gates)
1742 cmos_cost = true;
1743 gate_list.push_back("NAND");
1744 gate_list.push_back("NOR");
1745 gate_list.push_back("AOI3");
1746 gate_list.push_back("OAI3");
1747 goto ok_alias;
1748 }
1749 if (g == "cmos4") {
1750 if (!remove_gates)
1751 cmos_cost = true;
1752 gate_list.push_back("NAND");
1753 gate_list.push_back("NOR");
1754 gate_list.push_back("AOI3");
1755 gate_list.push_back("OAI3");
1756 gate_list.push_back("AOI4");
1757 gate_list.push_back("OAI4");
1758 goto ok_alias;
1759 }
1760 if (g == "cmos") {
1761 if (!remove_gates)
1762 cmos_cost = true;
1763 gate_list.push_back("NAND");
1764 gate_list.push_back("NOR");
1765 gate_list.push_back("AOI3");
1766 gate_list.push_back("OAI3");
1767 gate_list.push_back("AOI4");
1768 gate_list.push_back("OAI4");
1769 gate_list.push_back("NMUX");
1770 gate_list.push_back("MUX");
1771 gate_list.push_back("XOR");
1772 gate_list.push_back("XNOR");
1773 goto ok_alias;
1774 }
1775 if (g == "gates") {
1776 gate_list.push_back("AND");
1777 gate_list.push_back("NAND");
1778 gate_list.push_back("OR");
1779 gate_list.push_back("NOR");
1780 gate_list.push_back("XOR");
1781 gate_list.push_back("XNOR");
1782 gate_list.push_back("ANDNOT");
1783 gate_list.push_back("ORNOT");
1784 goto ok_alias;
1785 }
1786 if (g == "aig") {
1787 gate_list.push_back("AND");
1788 gate_list.push_back("NAND");
1789 gate_list.push_back("OR");
1790 gate_list.push_back("NOR");
1791 gate_list.push_back("ANDNOT");
1792 gate_list.push_back("ORNOT");
1793 goto ok_alias;
1794 }
1795 if (g == "all") {
1796 gate_list.push_back("AND");
1797 gate_list.push_back("NAND");
1798 gate_list.push_back("OR");
1799 gate_list.push_back("NOR");
1800 gate_list.push_back("XOR");
1801 gate_list.push_back("XNOR");
1802 gate_list.push_back("ANDNOT");
1803 gate_list.push_back("ORNOT");
1804 gate_list.push_back("AOI3");
1805 gate_list.push_back("OAI3");
1806 gate_list.push_back("AOI4");
1807 gate_list.push_back("OAI4");
1808 gate_list.push_back("MUX");
1809 gate_list.push_back("NMUX");
1810 }
1811 if (g_arg_from_cmd)
1812 cmd_error(args, g_argidx, stringf("Unsupported gate type: %s", g.c_str()));
1813 else
1814 log_cmd_error("Unsupported gate type: %s", g.c_str());
1815 ok_gate:
1816 gate_list.push_back(g);
1817 ok_alias:
1818 for (auto gate : gate_list) {
1819 if (remove_gates)
1820 enabled_gates.erase(gate);
1821 else
1822 enabled_gates.insert(gate);
1823 }
1824 }
1825 }
1826
1827 if (!lut_costs.empty() && !liberty_file.empty())
1828 log_cmd_error("Got -lut and -liberty! These two options are exclusive.\n");
1829 if (!constr_file.empty() && liberty_file.empty())
1830 log_cmd_error("Got -constr but no -liberty!\n");
1831
1832 if (enabled_gates.empty()) {
1833 enabled_gates.insert("AND");
1834 enabled_gates.insert("NAND");
1835 enabled_gates.insert("OR");
1836 enabled_gates.insert("NOR");
1837 enabled_gates.insert("XOR");
1838 enabled_gates.insert("XNOR");
1839 enabled_gates.insert("ANDNOT");
1840 enabled_gates.insert("ORNOT");
1841 // enabled_gates.insert("AOI3");
1842 // enabled_gates.insert("OAI3");
1843 // enabled_gates.insert("AOI4");
1844 // enabled_gates.insert("OAI4");
1845 enabled_gates.insert("MUX");
1846 // enabled_gates.insert("NMUX");
1847 }
1848
1849 for (auto mod : design->selected_modules())
1850 {
1851 if (mod->processes.size() > 0) {
1852 log("Skipping module %s as it contains processes.\n", log_id(mod));
1853 continue;
1854 }
1855
1856 assign_map.set(mod);
1857 signal_init.clear();
1858
1859 for (Wire *wire : mod->wires())
1860 if (wire->attributes.count(ID::init)) {
1861 SigSpec initsig = assign_map(wire);
1862 Const initval = wire->attributes.at(ID::init);
1863 for (int i = 0; i < GetSize(initsig) && i < GetSize(initval); i++)
1864 switch (initval[i]) {
1865 case State::S0:
1866 signal_init[initsig[i]] = State::S0;
1867 break;
1868 case State::S1:
1869 signal_init[initsig[i]] = State::S1;
1870 break;
1871 default:
1872 break;
1873 }
1874 }
1875
1876 if (!dff_mode || !clk_str.empty()) {
1877 abc_module(design, mod, script_file, exe_file, liberty_file, constr_file, cleanup, lut_costs, dff_mode, clk_str, keepff,
1878 delay_target, sop_inputs, sop_products, lutin_shared, fast_mode, mod->selected_cells(), show_tempdir, sop_mode, abc_dress);
1879 continue;
1880 }
1881
1882 CellTypes ct(design);
1883
1884 std::vector<RTLIL::Cell*> all_cells = mod->selected_cells();
1885 std::set<RTLIL::Cell*> unassigned_cells(all_cells.begin(), all_cells.end());
1886
1887 std::set<RTLIL::Cell*> expand_queue, next_expand_queue;
1888 std::set<RTLIL::Cell*> expand_queue_up, next_expand_queue_up;
1889 std::set<RTLIL::Cell*> expand_queue_down, next_expand_queue_down;
1890
1891 typedef tuple<bool, RTLIL::SigSpec, bool, RTLIL::SigSpec> clkdomain_t;
1892 std::map<clkdomain_t, std::vector<RTLIL::Cell*>> assigned_cells;
1893 std::map<RTLIL::Cell*, clkdomain_t> assigned_cells_reverse;
1894
1895 std::map<RTLIL::Cell*, std::set<RTLIL::SigBit>> cell_to_bit, cell_to_bit_up, cell_to_bit_down;
1896 std::map<RTLIL::SigBit, std::set<RTLIL::Cell*>> bit_to_cell, bit_to_cell_up, bit_to_cell_down;
1897
1898 for (auto cell : all_cells)
1899 {
1900 clkdomain_t key;
1901
1902 for (auto &conn : cell->connections())
1903 for (auto bit : conn.second) {
1904 bit = assign_map(bit);
1905 if (bit.wire != nullptr) {
1906 cell_to_bit[cell].insert(bit);
1907 bit_to_cell[bit].insert(cell);
1908 if (ct.cell_input(cell->type, conn.first)) {
1909 cell_to_bit_up[cell].insert(bit);
1910 bit_to_cell_down[bit].insert(cell);
1911 }
1912 if (ct.cell_output(cell->type, conn.first)) {
1913 cell_to_bit_down[cell].insert(bit);
1914 bit_to_cell_up[bit].insert(cell);
1915 }
1916 }
1917 }
1918
1919 if (cell->type.in(ID($_DFF_N_), ID($_DFF_P_)))
1920 {
1921 key = clkdomain_t(cell->type == ID($_DFF_P_), assign_map(cell->getPort(ID::C)), true, RTLIL::SigSpec());
1922 }
1923 else
1924 if (cell->type.in(ID($_DFFE_NN_), ID($_DFFE_NP_), ID($_DFFE_PN_), ID($_DFFE_PP_)))
1925 {
1926 bool this_clk_pol = cell->type.in(ID($_DFFE_PN_), ID($_DFFE_PP_));
1927 bool this_en_pol = cell->type.in(ID($_DFFE_NP_), ID($_DFFE_PP_));
1928 key = clkdomain_t(this_clk_pol, assign_map(cell->getPort(ID::C)), this_en_pol, assign_map(cell->getPort(ID::E)));
1929 }
1930 else
1931 continue;
1932
1933 unassigned_cells.erase(cell);
1934 expand_queue.insert(cell);
1935 expand_queue_up.insert(cell);
1936 expand_queue_down.insert(cell);
1937
1938 assigned_cells[key].push_back(cell);
1939 assigned_cells_reverse[cell] = key;
1940 }
1941
1942 while (!expand_queue_up.empty() || !expand_queue_down.empty())
1943 {
1944 if (!expand_queue_up.empty())
1945 {
1946 RTLIL::Cell *cell = *expand_queue_up.begin();
1947 clkdomain_t key = assigned_cells_reverse.at(cell);
1948 expand_queue_up.erase(cell);
1949
1950 for (auto bit : cell_to_bit_up[cell])
1951 for (auto c : bit_to_cell_up[bit])
1952 if (unassigned_cells.count(c)) {
1953 unassigned_cells.erase(c);
1954 next_expand_queue_up.insert(c);
1955 assigned_cells[key].push_back(c);
1956 assigned_cells_reverse[c] = key;
1957 expand_queue.insert(c);
1958 }
1959 }
1960
1961 if (!expand_queue_down.empty())
1962 {
1963 RTLIL::Cell *cell = *expand_queue_down.begin();
1964 clkdomain_t key = assigned_cells_reverse.at(cell);
1965 expand_queue_down.erase(cell);
1966
1967 for (auto bit : cell_to_bit_down[cell])
1968 for (auto c : bit_to_cell_down[bit])
1969 if (unassigned_cells.count(c)) {
1970 unassigned_cells.erase(c);
1971 next_expand_queue_up.insert(c);
1972 assigned_cells[key].push_back(c);
1973 assigned_cells_reverse[c] = key;
1974 expand_queue.insert(c);
1975 }
1976 }
1977
1978 if (expand_queue_up.empty() && expand_queue_down.empty()) {
1979 expand_queue_up.swap(next_expand_queue_up);
1980 expand_queue_down.swap(next_expand_queue_down);
1981 }
1982 }
1983
1984 while (!expand_queue.empty())
1985 {
1986 RTLIL::Cell *cell = *expand_queue.begin();
1987 clkdomain_t key = assigned_cells_reverse.at(cell);
1988 expand_queue.erase(cell);
1989
1990 for (auto bit : cell_to_bit.at(cell)) {
1991 for (auto c : bit_to_cell[bit])
1992 if (unassigned_cells.count(c)) {
1993 unassigned_cells.erase(c);
1994 next_expand_queue.insert(c);
1995 assigned_cells[key].push_back(c);
1996 assigned_cells_reverse[c] = key;
1997 }
1998 bit_to_cell[bit].clear();
1999 }
2000
2001 if (expand_queue.empty())
2002 expand_queue.swap(next_expand_queue);
2003 }
2004
2005 clkdomain_t key(true, RTLIL::SigSpec(), true, RTLIL::SigSpec());
2006 for (auto cell : unassigned_cells) {
2007 assigned_cells[key].push_back(cell);
2008 assigned_cells_reverse[cell] = key;
2009 }
2010
2011 log_header(design, "Summary of detected clock domains:\n");
2012 for (auto &it : assigned_cells)
2013 log(" %d cells in clk=%s%s, en=%s%s\n", GetSize(it.second),
2014 std::get<0>(it.first) ? "" : "!", log_signal(std::get<1>(it.first)),
2015 std::get<2>(it.first) ? "" : "!", log_signal(std::get<3>(it.first)));
2016
2017 for (auto &it : assigned_cells) {
2018 clk_polarity = std::get<0>(it.first);
2019 clk_sig = assign_map(std::get<1>(it.first));
2020 en_polarity = std::get<2>(it.first);
2021 en_sig = assign_map(std::get<3>(it.first));
2022 abc_module(design, mod, script_file, exe_file, liberty_file, constr_file, cleanup, lut_costs, !clk_sig.empty(), "$",
2023 keepff, delay_target, sop_inputs, sop_products, lutin_shared, fast_mode, it.second, show_tempdir, sop_mode, abc_dress);
2024 assign_map.set(mod);
2025 }
2026 }
2027
2028 assign_map.clear();
2029 signal_list.clear();
2030 signal_map.clear();
2031 signal_init.clear();
2032 pi_map.clear();
2033 po_map.clear();
2034
2035 log_pop();
2036 }
2037 } AbcPass;
2038
2039 PRIVATE_NAMESPACE_END