2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
6 use osvvm.RandomPkg.all;
11 data : out std_ulogic_vector(63 downto 0);
12 raw : out std_ulogic_vector(63 downto 0);
17 architecture behaviour of random is
22 variable rand : std_ulogic_vector(63 downto 0);
23 variable rnd : RandomPType;
25 if rising_edge(clk) then
26 rand := rnd.RandSlv(64);