2689a3fd97277855a08024e20641e2a4be7a076b
[libreriscv.git] / resources.mdwn
1 # Resources and Specifications
2
3 This page aims to collect all the resources and specifications we need
4 in one place for quick access. We will try our best to keep links here
5 up-to-date. Feel free to add more links here.
6
7 [[!toc ]]
8
9 # Getting Started
10
11 This section is primarily a series of useful links found online
12
13 * [FSiC2019](https://wiki.f-si.org/index.php/FSiC2019)
14 * Fundamentals to learn to get started [[3d_gpu/tutorial]]
15
16 ## Is Open Source Hardware Profitable?
17 [RaptorCS on FOSS Hardware Interview](https://www.youtube.com/watch?v=o5Ihqg72T3c&feature=youtu.be)
18
19 # OpenPOWER ISA
20
21 * [3.0 PDF](https://openpowerfoundation.org/?resource_lib=power-isa-version-3-0)
22 * [2.07 PDF](https://openpowerfoundation.org/?resource_lib=ibm-power-isa-version-2-07-b)
23
24 ## Overview of the user ISA:
25
26 [Raymond Chen's PowerPC series](https://devblogs.microsoft.com/oldnewthing/20180806-00/?p=99425)
27
28 ## OpenPOWER OpenFSI Spec (2016)
29
30 * [OpenPOWER OpenFSI Spec](http://openpowerfoundation.org/wp-content/uploads/resources/OpenFSI-spec-100/OpenFSI-spec-20161212.pdf)
31
32 * [OpenPOWER OpenFSI Compliance Spec](http://openpowerfoundation.org/wp-content/uploads/resources/openpower-fsi-thts-1.0/openpower-fsi-thts-20180130.pdf)
33
34 # Communities
35
36 * <https://www.reddit.com/r/OpenPOWER/>
37 * <http://lists.mailinglist.openpowerfoundation.org/pipermail/openpower-hdl-cores/>
38 * <http://lists.mailinglist.openpowerfoundation.org/pipermail/openpower-community-dev/>
39
40
41 # JTAG
42
43 * [Useful JTAG implementation reference: Design Of IEEE 1149.1 TAP Controller IP Core by Shelja, Nandakumar and Muruganantham, DOI:10.5121/csit.2016.60910](https://web.archive.org/web/20201021174944/https://airccj.org/CSCP/vol6/csit65610.pdf)
44
45 Abstract
46
47 "The objective of this work is to design and implement a TAP controller IP core compatible with IEEE 1149.1-2013 revision of the standard. The test logic architecture also includes the Test Mode Persistence controller and its associated logic. This work is expected to serve as a ready to use module that can be directly inserted in to a new digital IC designs with little modifications."
48
49 # Radix MMU
50 - [Qemu emulation](https://github.com/qemu/qemu/commit/d5fee0bbe68d5e61e2d2beb5ff6de0b9c1cfd182)
51
52 # D-Cache
53
54 ## D-Cache Possible Optimizations papers and links
55 - [ACDC: Small, Predictable and High-Performance Data Cache](https://dl.acm.org/doi/10.1145/2677093)
56
57 # BW Enhancing Shared L1 Cache Design research done in cooperation with AMD
58 - [Youtube video PACT 2020 - Analyzing and Leveraging Shared L1 Caches in GPUs](https://m.youtube.com/watch?v=CGIhOnt7F6s)
59 - [Url to PDF of paper on author's website (clicking will download the pdf)](https://adwaitjog.github.io/docs/pdf/sharedl1-pact20.pdf)
60
61
62 # RTL Arithmetic SQRT, FPU etc.
63
64 ## Wallace vs Dadda Multipliers
65
66 * [Paper comparing efficiency of Wallace and Dadda Multipliers in RTL implementations (clicking will download the pdf from archive.org)](https://web.archive.org/web/20180717013227/http://ieeemilestones.ethw.org/images/d/db/A_comparison_of_Dadda_and_Wallace_multiplier_delays.pdf)
67
68 ## Sqrt
69 * [Fast Floating Point Square Root](https://pdfs.semanticscholar.org/5060/4e9aff0e37089c4ab9a376c3f35761ffe28b.pdf)
70 * [Reciprocal Square Root Algorithm](http://www.acsel-lab.com/arithmetic/arith15/papers/ARITH15_Takagi.pdf)
71 * [Fast Calculation of Cube and Inverse Cube Roots Using a Magic Constant and Its Implementation on Microcontrollers (clicking will download the pdf)](https://res.mdpi.com/d_attachment/energies/energies-14-01058/article_deploy/energies-14-01058-v2.pdf)
72 * [Modified Fast Inverse Square Root and Square Root Approximation Algorithms: The Method of Switching Magic Constants (clicking will download the pdf)](https://res.mdpi.com/d_attachment/computation/computation-09-00021/article_deploy/computation-09-00021-v3.pdf)
73
74
75 ## CORDIC and related algorithms
76
77 * <https://bugs.libre-soc.org/show_bug.cgi?id=127> research into CORDIC
78 * <https://bugs.libre-soc.org/show_bug.cgi?id=208>
79 * [BKM (log(x) and e^x)](https://en.wikipedia.org/wiki/BKM_algorithm)
80 * [CORDIC](http://www.andraka.com/files/crdcsrvy.pdf)
81 - Does not have an easy way of computing tan(x)
82 * [zipcpu CORDIC](https://zipcpu.com/dsp/2017/08/30/cordic.html)
83 * [Low latency and Low error floating point TCORDIC](https://ieeexplore.ieee.org/document/7784797) (email Michael or Cole if you don't have IEEE access)
84 * <http://www.myhdl.org/docs/examples/sinecomp/> MyHDL version of CORDIC
85 * <https://dspguru.com/dsp/faqs/cordic/>
86
87 ## IEEE Standard for Floating-Point Arithmetic (IEEE 754)
88
89 Almost all modern computers follow the IEEE Floating-Point Standard. Of
90 course, we will follow it as well for interoperability.
91
92 * IEEE 754-2019: <https://standards.ieee.org/standard/754-2019.html>
93
94 Note: Even though this is such an important standard used by everyone,
95 it is unfortunately not freely available and requires a payment to
96 access. However, each of the Libre-SOC members already have access
97 to the document.
98
99 * [Lecture notes - Floating Point Appreciation](http://pages.cs.wisc.edu/~markhill/cs354/Fall2008/notes/flpt.apprec.html)
100
101 Among other things, has a nice explanation on arithmetic, rounding modes and the sticky bit.
102
103 * [What Every Computer Scientist Should Know About Floating-Point Arithmetic](https://docs.oracle.com/cd/E19957-01/806-3568/ncg_goldberg.html)
104
105 Nice resource on rounding errors (ulps and epsilon) and the "table maker's dilemma".
106
107 ## Past FPU Mistakes to learn from
108
109 * [Intel Underestimates Error Bounds by 1.3 quintillion on
110 Random ASCII – tech blog of Bruce Dawson ](https://randomascii.wordpress.com/2014/10/09/intel-underestimates-error-bounds-by-1-3-quintillion/)
111 * [Intel overstates FPU accuracy 06/01/2013](http://notabs.org/fpuaccuracy)
112 * How not to design an ISA
113 <https://player.vimeo.com/video/450406346>
114 Meester Forsyth <http://eelpi.gotdns.org/>
115 # Khronos Standards
116
117 The Khronos Group creates open standards for authoring and acceleration
118 of graphics, media, and computation. It is a requirement for our hybrid
119 CPU/GPU to be compliant with these standards *as well* as with IEEE754,
120 in order to be commercially-competitive in both areas: especially Vulkan
121 and OpenCL being the most important. SPIR-V is also important for the
122 Kazan driver.
123
124 Thus the [[zfpacc_proposal]] has been created which permits runtime dynamic
125 switching between different accuracy levels, in userspace applications.
126
127 [**SPIR-V Main Page Link**](https://www.khronos.org/registry/spir-v/)
128
129 * [SPIR-V 1.5 Specification Revision 1](https://www.khronos.org/registry/spir-v/specs/unified1/SPIRV.html)
130 * [SPIR-V OpenCL Extended Instruction Set](https://www.khronos.org/registry/spir-v/specs/unified1/OpenCL.ExtendedInstructionSet.100.html)
131 * [SPIR-V GLSL Extended Instruction Set](https://www.khronos.org/registry/spir-v/specs/unified1/GLSL.std.450.html)
132
133 [**Vulkan Main Page Link**](https://www.khronos.org/registry/vulkan/)
134
135 * [Vulkan 1.1.122](https://www.khronos.org/registry/vulkan/specs/1.1-extensions/html/index.html)
136
137 [**OpenCL Main Page**](https://www.khronos.org/registry/OpenCL/)
138
139 * [OpenCL 2.2 API Specification](https://www.khronos.org/registry/OpenCL/specs/2.2/html/OpenCL_API.html)
140 * [OpenCL 2.2 Extension Specification](https://www.khronos.org/registry/OpenCL/specs/2.2/html/OpenCL_Ext.html)
141 * [OpenCL 2.2 SPIR-V Environment Specification](https://www.khronos.org/registry/OpenCL/specs/2.2/html/OpenCL_Env.html)
142
143 * OpenCL released the proposed OpenCL 3.0 spec for comments in april 2020
144
145 * [Announcement video](https://youtu.be/h0_syTg6TtY)
146 * [Announcement video slides (PDF)](https://www.khronos.org/assets/uploads/apis/OpenCL-3.0-Launch-Apr20.pdf)
147
148 Note: We are implementing hardware accelerated Vulkan and
149 OpenCL while relying on other software projects to translate APIs to
150 Vulkan. E.g. Zink allows for OpenGL-to-Vulkan in software.
151
152 # Open Source (CC BY + MIT) DirectX specs (by Microsoft, but not official specs)
153
154 https://github.com/Microsoft/DirectX-Specs
155
156 # Graphics and Compute API Stack
157
158 I found this informative post that mentions Kazan and a whole bunch of
159 other stuff. It looks like *many* APIs can be emulated on top of Vulkan,
160 although performance is not evaluated.
161
162 <https://synappsis.wordpress.com/2017/06/03/opengl-over-vulkan-dev/>
163
164 * Pixilica is heading up an initiative to create a RISC-V graphical ISA
165
166 * [Pixilica 3D Graphical ISA Slides](https://b5792ddd-543e-4dd4-9b97-fe259caf375d.filesusr.com/ugd/841f2a_c8685ced353b4c3ea20dbb993c4d4d18.pdf)
167
168 # 3D Graphics Texture compression software and hardware
169
170 * [Proprietary Rad Game Tools Oddle Texture Software Compression](https://web.archive.org/web/20200913122043/http://www.radgametools.com/oodle.htm)
171
172 * [Blog post by one of the engineers who developed the proprietary Rad Game Tools Oddle Texture Software Compression and the Oodle Kraken decompression software and hardware decoder used in the ps5 ssd](https://archive.vn/oz0pG)
173
174 # Various POWER Communities
175 - [An effort to make a 100% Libre POWER Laptop](https://www.powerpc-notebook.org/en/)
176 The T2080 is a POWER8 chip.
177 - [Power Progress Community](https://www.powerprogress.org/campaigns/donations-to-all-the-power-progress-community-projects/)
178 Supporting/Raising awareness of various POWER related open projects on the FOSS
179 community
180 - [OpenPOWER](https://openpowerfoundation.org)
181 Promotes and ensure compliance with the Power ISA amongst members.
182 - [OpenCapi](https://opencapi.org)
183 High performance interconnect for POWER machines. One of the big advantages
184 of the POWER architecture. Notably more performant than PCIE Gen4, and is
185 designed to be layered on top of the physical PCIE link.
186 - [OpenPOWER “Virtual Coffee” Calls](https://openpowerfoundation.org/openpower-virtual-coffee-calls/)
187 Truly open bi-weekly teleconference lines for anybody interested in helping
188 advance or adopting the POWER architecture.
189
190 # Conferences
191
192 ## Free Silicon Conference
193
194 The conference brought together experts and enthusiasts who want to build
195 a complete Free and Open Source CAD ecosystem for designing analog and
196 digital integrated circuits. The conference covered the full spectrum of
197 the design process, from system architecture, to layout and verification.
198
199 * <https://wiki.f-si.org/index.php/FSiC2019#Foundries.2C_PDKs_and_cell_libraries>
200
201 * LIP6's Coriolis - a set of backend design tools:
202 <https://www-soc.lip6.fr/equipe-cian/logiciels/coriolis/>
203
204 Note: The rest of LIP6's website is in French, but there is a UK flag
205 in the corner that gives the English version.
206
207 * KLayout - Layout viewer and editor: <https://www.klayout.de/>
208
209 # The OpenROAD Project
210
211 OpenROAD seeks to develop and foster an autonomous, 24-hour, open-source
212 layout generation flow (RTL-to-GDS).
213
214 * <https://theopenroadproject.org/>
215
216 # Other RISC-V GPU attempts
217
218 * <https://fossi-foundation.org/2019/09/03/gsoc-64b-pointers-in-rv32>
219
220 * <http://bjump.org/manycore/>
221
222 * <https://resharma.github.io/RISCV32-GPU/>
223
224 TODO: Get in touch and discuss collaboration
225
226 # Tests, Benchmarks, Conformance, Compliance, Verification, etc.
227
228 ## RISC-V Tests
229
230 RISC-V Foundation is in the process of creating an official conformance
231 test. It's still in development as far as I can tell.
232
233 * //TODO LINK TO RISC-V CONFORMANCE TEST
234
235 ## IEEE 754 Testing/Emulation
236
237 IEEE 754 has no official tests for floating-point but there are
238 well-known third party tools to check such as John Hauser's TestFloat.
239
240 There is also his SoftFloat library, which is a software emulation
241 library for IEEE 754.
242
243 * <http://www.jhauser.us/arithmetic/>
244
245 Jacob is also working on an IEEE 754 software emulation library written
246 in Rust which also has Python bindings:
247
248 * Source: <https://salsa.debian.org/Kazan-team/simple-soft-float>
249 * Crate: <https://crates.io/crates/simple-soft-float>
250 * Autogenerated Docs: <https://docs.rs/simple-soft-float/>
251
252 A cool paper I came across in my research is "IeeeCC754++ : An Advanced
253 Set of Tools to Check IEEE 754-2008 Conformity" by Dr. Matthias Hüsken.
254
255 * Direct link to PDF:
256 <http://elpub.bib.uni-wuppertal.de/servlets/DerivateServlet/Derivate-7505/dc1735.pdf>
257
258 ## Khronos Tests
259
260 OpenCL Conformance Tests
261
262 * <https://github.com/KhronosGroup/OpenCL-CTS>
263
264 Vulkan Conformance Tests
265
266 * <https://github.com/KhronosGroup/VK-GL-CTS>
267
268 MAJOR NOTE: We are **not** allowed to say we are compliant with any of
269 the Khronos standards until we actually make an official submission,
270 do the paperwork, and pay the relevant fees.
271
272 ## Formal Verification
273
274 Formal verification of Libre RISC-V ensures that it is bug-free in
275 regards to what we specify. Of course, it is important to do the formal
276 verification as a final step in the development process before we produce
277 thousands or millions of silicon.
278
279 * Possible way to speed up our solvers for our formal proofs <https://web.archive.org/web/20201029205507/https://github.com/eth-sri/fastsmt>
280
281 * Algorithms (papers) submitted for 2018 International SAT Competition <https://web.archive.org/web/20201029205239/https://helda.helsinki.fi/bitstream/handle/10138/237063/sc2018_proceedings.pdf> <https://web.archive.org/web/20201029205637/http://www.satcompetition.org/>
282
283 Some learning resources I found in the community:
284
285 * ZipCPU: <http://zipcpu.com/> ZipCPU provides a comprehensive
286 tutorial for beginners and many exercises/quizzes/slides:
287 <http://zipcpu.com/tutorial/>
288 * Western Digital's SweRV CPU blog (I recommend looking at all their
289 posts): <https://tomverbeure.github.io/>
290 * <https://tomverbeure.github.io/risc-v/2018/11/19/A-Bug-Free-RISC-V-Core-without-Simulation.html>
291 * <https://tomverbeure.github.io/rtl/2019/01/04/Under-the-Hood-of-Formal-Verification.html>
292
293 ## Automation
294
295 * <https://www.ohwr.org/project/wishbone-gen>
296
297 # LLVM
298
299 ## Adding new instructions:
300
301 * <https://archive.fosdem.org/2015/schedule/event/llvm_internal_asm/>
302
303 # Branch Prediction
304
305 * <https://danluu.com/branch-prediction/>
306
307 # Python RTL Tools
308
309 * [Migen - a Python RTL](https://jeffrey.co.in/blog/2014/01/d-flip-flop-using-migen/)
310 * [LiTeX](https://github.com/timvideos/litex-buildenv/wiki/LiteX-for-Hardware-Engineers)
311 An SOC builder written in Python Migen DSL. Allows you to generate functional
312 RTL for a SOC configured with cache, a RISCV core, ethernet, DRAM support,
313 and parameterizeable CSRs.
314 * [Migen Tutorial](http://blog.lambdaconcept.com/doku.php?id=migen:tutorial>)
315 * There is a great guy, Robert Baruch, who has a good
316 [tutorial](https://github.com/RobertBaruch/nmigen-tutorial) on nMigen.
317 He also build an FPGA-proven Motorola 6800 CPU clone with nMigen and put
318 [the code](https://github.com/RobertBaruch/n6800) and
319 [instructional videos](https://www.youtube.com/playlist?list=PLEeZWGE3PwbbjxV7_XnPSR7ouLR2zjktw)
320 online.
321 * [Minerva](https://github.com/lambdaconcept/minerva)
322 An SOC written in Python nMigen DSL
323 * Minerva example using nmigen-soc
324 <https://github.com/jfng/minerva-examples/blob/master/hello/core.py>
325 * [Using our Python Unit Tests(old)](http://lists.libre-riscv.org/pipermail/libre-riscv-dev/2019-March/000705.html)
326 * <https://chisel.eecs.berkeley.edu/api/latest/chisel3/util/DecoupledIO.html>
327 * <http://www.clifford.at/papers/2016/yosys-synth-formal/slides.pdf>
328
329 # Other
330
331 * <https://debugger.medium.com/why-is-apples-m1-chip-so-fast-3262b158cba2> N1
332 * <https://codeberg.org/tok/librecell> Libre Cell Library
333 * <https://wiki.f-si.org/index.php/FSiC2019>
334 * <https://fusesoc.net>
335 * <https://www.lowrisc.org/open-silicon/>
336 * <http://fpgacpu.ca/fpga/Pipeline_Skid_Buffer.html> pipeline skid buffer
337 * <https://pyvcd.readthedocs.io/en/latest/vcd.gtkw.html> GTKwave
338 * <http://www.sunburst-design.com/papers/CummingsSNUG2002SJ_Resets.pdf>
339 Synchronous Resets? Asynchronous Resets? I am so confused! How will I
340 ever know which to use? by Clifford E. Cummings
341 * <http://www.sunburst-design.com/papers/CummingsSNUG2008Boston_CDC.pdf>
342 Clock Domain Crossing (CDC) Design & Verification Techniques Using
343 SystemVerilog, by Clifford E. Cummings
344 In particular, see section 5.8.2: Multi-bit CDC signal passing using
345 1-deep / 2-register FIFO synchronizer.
346 * <http://www2.eecs.berkeley.edu/Pubs/TechRpts/2016/EECS-2016-143.pdf>
347 Understanding Latency Hiding on GPUs, by Vasily Volkov
348 * Efabless "Openlane" <https://github.com/efabless/openlane>
349 * example of openlane with nmigen
350 <https://gist.github.com/lethalbit/e65e296bc6a3810280d1b256c9df591b>
351 * Co-simulation plugin for verilator, transferring to ECP5
352 <https://github.com/vmware/cascade>
353 * Multi-read/write ported memories
354 <https://tomverbeure.github.io/2019/08/03/Multiport-Memories.html>
355 * Data-dependent fail-on-first aka "Fault-tolerant speculative vectorisation"
356 <https://arxiv.org/pdf/1803.06185.pdf>
357 * OpenPOWER Foundation Membership
358 <https://openpowerfoundation.org/membership/how-to-join/membership-kit-9-27-16-4/>
359 * Clock switching (and formal verification)
360 <https://zipcpu.com/formal/2018/05/31/clkswitch.html>
361 * Circuit of Compunit <http://home.macintosh.garden/~mepy2/libre-soc/comp_unit_req_rel.html>
362 * Circuitverse 16-bit <https://circuitverse.org/users/17603/projects/54486>
363 * Nice example model of a Tomasulo-based architecture, with multi-issue, in-order issue, out-of-order execution, in-order commit, with reservation stations and reorder buffers, and hazard avoidance.
364 <https://www.brown.edu/Departments/Engineering/Courses/En164/Tomasulo_10.pdf>
365 # Real/Physical Projects
366
367 * [Samuel's KC5 code](http://chiselapp.com/user/kc5tja/repository/kestrel-3/dir?ci=6c559135a301f321&name=cores/cpu)
368 * <https://chips4makers.io/blog/>
369 * <https://hackaday.io/project/7817-zynqberry>
370 * <https://github.com/efabless/raven-picorv32>
371 * <https://efabless.com>
372 * <https://efabless.com/design_catalog/default>
373 * <https://wiki.f-si.org/index.php/The_Raven_chip:_First-time_silicon_success_with_qflow_and_efabless>
374 * <https://mshahrad.github.io/openpiton-asplos16.html>
375
376 # ASIC tape-out pricing
377
378 * <https://europractice-ic.com/wp-content/uploads/2020/05/General-MPW-EUROPRACTICE-200505-v8.pdf>
379
380 # Funding
381
382 * <https://toyota-ai.ventures/>
383 * [NLNet Applications](http://bugs.libre-riscv.org/buglist.cgi?columnlist=assigned_to%2Cbug_status%2Cresolution%2Cshort_desc%2Ccf_budget&f1=cf_nlnet_milestone&o1=equals&query_format=advanced&resolution=---&v1=NLnet.2019.02)
384
385 # Good Programming/Design Practices
386
387 * [Liskov Substitution Principle](https://en.wikipedia.org/wiki/Liskov_substitution_principle)
388 * [Principle of Least Astonishment](https://en.wikipedia.org/wiki/Principle_of_least_astonishment)
389 * <https://peertube.f-si.org/videos/watch/379ef007-40b7-4a51-ba1a-0db4f48e8b16>
390 * [Rust-Lang Philosophy and Consensus](http://smallcultfollowing.com/babysteps/blog/2019/04/19/aic-adventures-in-consensus/)
391
392 * <https://youtu.be/o5Ihqg72T3c>
393 * <http://flopoco.gforge.inria.fr/>
394 * Fundamentals of Modern VLSI Devices
395 <https://groups.google.com/a/groups.riscv.org/d/msg/hw-dev/b4pPvlzBzu0/7hDfxArEAgAJ>
396
397 # 12 skills summary
398
399 * <https://www.crnhq.org/cr-kit/>
400
401 # Analog Simulation
402
403 * <https://github.com/Isotel/mixedsim>
404 * <http://www.vlsiacademy.org/open-source-cad-tools.html>
405 * <http://ngspice.sourceforge.net/adms.html>
406 * <https://en.wikipedia.org/wiki/Verilog-AMS#Open_Source_Implementations>
407
408 # Libre-SOC Standards
409
410 This list auto-generated from a page tag "standards":
411
412 [[!inline pages="tagged(standards)" actions="no" archive="yes" quick="yes"]]
413
414 # Server setup
415
416 * [[resources/server-setup/web-server]]
417 * [[resources/server-setup/git-mirroring]]
418 * [[resources/server-setup/nagios-monitoring]]
419
420 # Testbeds
421
422 * <https://www.fed4fire.eu/testbeds/>
423
424 # Really Useful Stuff
425
426 * <https://github.com/im-tomu/fomu-workshop/blob/master/docs/requirements.txt>
427 * <https://github.com/im-tomu/fomu-workshop/blob/master/docs/conf.py#L39-L47>
428
429 # Digilent Arty
430
431 * https://store.digilentinc.com/pmod-sf3-32-mb-serial-nor-flash/
432 * https://store.digilentinc.com/arty-a7-artix-7-fpga-development-board-for-makers-and-hobbyists/
433 * https://store.digilentinc.com/pmod-vga-video-graphics-array/
434 * https://store.digilentinc.com/pmod-microsd-microsd-card-slot/
435 * https://store.digilentinc.com/pmod-rtcc-real-time-clock-calendar/
436 * https://store.digilentinc.com/pmod-i2s2-stereo-audio-input-and-output/
437
438 # CircuitJS experiments
439
440 * [[resources/high-speed-serdes-in-circuitjs]]
441
442 # Logic Simulator 2
443 * <https://github.com/dkilfoyle/logic2>
444 [Live web version](https://dkilfoyle.github.io/logic2/)
445
446 > ## Features
447 > 1. Micro-subset verilog-like DSL for coding the array of logic gates (parsed using Antlr)
448 > 2. Monaco-based code editor with automatic linting/error reporting, smart indentation, code folding, hints
449 > 3. IDE docking ui courtesy of JupyterLab's Lumino widgets
450 > 4. Schematic visualisation courtesy of d3-hwschematic
451 > 5. Testbench simulation with graphical trace output and schematic animation
452 > 6. Circuit description as gates, boolean logic or verilog behavioural model
453 > 7. Generate arbitrary outputs from truth table and Sum of Products or Karnaugh Map
454
455 [from the GitHub page. As of 2021/03/29]
456
457 # ASIC Timing and Design flow resources
458
459 * <https://www.linkedin.com/pulse/asic-design-flow-introduction-timing-constraints-mahmoud-abdellatif/>
460 * <https://www.icdesigntips.com/2020/10/setup-and-hold-time-explained.html>
461 * <https://www.vlsiguide.com/2018/07/clock-tree-synthesis-cts.html>
462 * <https://en.wikipedia.org/wiki/Frequency_divider>
463
464 # Geometric Haskell Library
465
466 * <https://github.com/julialongtin/hslice/blob/master/Graphics/Slicer/Math/GeometricAlgebra.hs>
467 * <https://github.com/julialongtin/hslice/blob/master/Graphics/Slicer/Math/PGA.hs>
468 * <https://arxiv.org/pdf/1501.06511.pdf>
469 * <https://bivector.net/index.html>
470
471 # TODO investigate
472
473 ```
474 https://github.com/idea-fasoc/OpenFASOC
475 https://www.quicklogic.com/2020/06/18/the-tipping-point/
476 https://www.quicklogic.com/blog/
477 https://www.quicklogic.com/2020/09/15/why-open-source-ecosystems-make-good-business-sense/
478 https://www.quicklogic.com/qorc/
479 https://en.wikipedia.org/wiki/RAD750
480 The RAD750 system has a price that is comparable to the RAD6000, the latter of which as of 2002 was listed at US$200,000 (equivalent to $284,292 in 2019).
481 https://theamphour.com/525-open-fpga-toolchains-and-machine-learning-with-brian-faith-of-quicklogic/
482 https://github.blog/2021-03-22-open-innovation-winning-strategy-digital-sovereignty-human-progress/
483 https://github.com/olofk/edalize
484 https://github.com/hdl/containers
485 https://twitter.com/OlofKindgren/status/1374848733746192394
486 You might also want to check out https://umarcor.github.io/osvb/index.html
487 https://www.linkedin.com/pulse/1932021-python-now-replaces-tcl-all-besteda-apis-avidan-efody/
488 “TCL has served us well, over the years, allowing us to provide an API, and at the same time ensure nobody will ever use it. I will miss it”.
489 https://sphinxcontrib-hdl-diagrams.readthedocs.io/en/latest/examples/comb-full-adder.html
490 https://sphinxcontrib-hdl-diagrams.readthedocs.io/en/latest/examples/carry4.html
491 FuseSoC is used by MicroWatt and Western Digital cores
492 OpenTitan also uses FuseSoC
493 LowRISC is UK based
494 https://antmicro.com/blog/2020/12/ibex-support-in-verilator-yosys-via-uhdm-surelog/
495 ```