4541826d32e10d40f8327606ae73ed0d9746cf61
[yosys.git] / run_yosys.ys
1 read_verilog example.v
2 synth_xilinx -edif example.edif -top example