carry starting to make sense
[libreriscv.git] / sandbox.mdwn
1 This is the SandBox, a page anyone can edit to learn how to use the wiki.
2
3 ----
4
5 Some codebox:
6
7 ```python
8 from enum import Enum, unique
9 from nmigen import *
10
11 @unique
12 class selects(Enum):
13 sel_A = 0
14 sel_B = 1
15 sel_C = 2
16 sel_D = 3
17 sel_E = 4
18 sel_F = 20
19
20 class Top(Elaboratable):
21 def __init__(self):
22 self.in_ = Signal(selects)
23 self.out = Signal()
24
25 def elaborate(self, platform):
26 m = Module()
27 m.d.comb += self.out.eq(self.in_)
28
29 with m.If(self.in_ == selects.sel_F):
30 m.d.comb += self.out.eq(1)
31 with m.Else():
32 m.d.comb += self.out.eq(0)
33
34 return m
35
36 from nmigen.back import verilog
37 f = open("top.v", "w")
38 top = Top()
39 ports = [top.in_, top.out]
40 f.write(verilog.convert(top, name='top',strip_internal_attrs=True,ports=ports))
41 ```
42
43 # experiment with single bullet
44
45 heading
46
47 * hello
48 * bullet2
49 ```
50 test indentation
51 test again
52 ```
53
54 heading again
55
56 1. bullet now two blank lines after
57
58
59 indent after 2 blank lines
60 more indent
61
62
63 Here's a paragraph.
64
65 Here's another one with *emphasised* text.
66
67 # Header
68
69 ## Subheader
70
71 > This is a blockquote.
72 >
73 > This is the first level of quoting.
74 >
75 > > This is nested blockquote.
76 >
77 > Back to the first level.
78
79 Numbered list
80
81 1. First item.
82 1. Another.
83 1. And another..
84
85 Bulleted list
86
87 * *item*
88 * item
89
90 <iframe src="https://git.libre-soc.org/?p=crowdsupply.git;a=blob_plain;f=Makefile;hb=69576cbd0ca58e98ebbf525d827b377fe7ad04fc"></iframe>
91
92 [[ikiwiki/WikiLink]]