gdb/fortran: rewrite intrinsic handling and add some missing overloads
[binutils-gdb.git] / sim / Makefile.in
1 # Makefile.in generated by automake 1.15.1 from Makefile.am.
2 # @configure_input@
3
4 # Copyright (C) 1994-2017 Free Software Foundation, Inc.
5
6 # This Makefile.in is free software; the Free Software Foundation
7 # gives unlimited permission to copy and/or distribute it,
8 # with or without modifications, as long as this notice is preserved.
9
10 # This program is distributed in the hope that it will be useful,
11 # but WITHOUT ANY WARRANTY, to the extent permitted by law; without
12 # even the implied warranty of MERCHANTABILITY or FITNESS FOR A
13 # PARTICULAR PURPOSE.
14
15 @SET_MAKE@
16
17 # Copyright (C) 1993-2022 Free Software Foundation, Inc.
18 #
19 # This program is free software; you can redistribute it and/or modify
20 # it under the terms of the GNU General Public License as published by
21 # the Free Software Foundation; either version 3 of the License, or
22 # (at your option) any later version.
23 #
24 # This program is distributed in the hope that it will be useful,
25 # but WITHOUT ANY WARRANTY; without even the implied warranty of
26 # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
27 # GNU General Public License for more details.
28 #
29 # You should have received a copy of the GNU General Public License
30 # along with this program. If not, see <http://www.gnu.org/licenses/>.
31
32
33
34 VPATH = @srcdir@
35 am__is_gnu_make = { \
36 if test -z '$(MAKELEVEL)'; then \
37 false; \
38 elif test -n '$(MAKE_HOST)'; then \
39 true; \
40 elif test -n '$(MAKE_VERSION)' && test -n '$(CURDIR)'; then \
41 true; \
42 else \
43 false; \
44 fi; \
45 }
46 am__make_running_with_option = \
47 case $${target_option-} in \
48 ?) ;; \
49 *) echo "am__make_running_with_option: internal error: invalid" \
50 "target option '$${target_option-}' specified" >&2; \
51 exit 1;; \
52 esac; \
53 has_opt=no; \
54 sane_makeflags=$$MAKEFLAGS; \
55 if $(am__is_gnu_make); then \
56 sane_makeflags=$$MFLAGS; \
57 else \
58 case $$MAKEFLAGS in \
59 *\\[\ \ ]*) \
60 bs=\\; \
61 sane_makeflags=`printf '%s\n' "$$MAKEFLAGS" \
62 | sed "s/$$bs$$bs[$$bs $$bs ]*//g"`;; \
63 esac; \
64 fi; \
65 skip_next=no; \
66 strip_trailopt () \
67 { \
68 flg=`printf '%s\n' "$$flg" | sed "s/$$1.*$$//"`; \
69 }; \
70 for flg in $$sane_makeflags; do \
71 test $$skip_next = yes && { skip_next=no; continue; }; \
72 case $$flg in \
73 *=*|--*) continue;; \
74 -*I) strip_trailopt 'I'; skip_next=yes;; \
75 -*I?*) strip_trailopt 'I';; \
76 -*O) strip_trailopt 'O'; skip_next=yes;; \
77 -*O?*) strip_trailopt 'O';; \
78 -*l) strip_trailopt 'l'; skip_next=yes;; \
79 -*l?*) strip_trailopt 'l';; \
80 -[dEDm]) skip_next=yes;; \
81 -[JT]) skip_next=yes;; \
82 esac; \
83 case $$flg in \
84 *$$target_option*) has_opt=yes; break;; \
85 esac; \
86 done; \
87 test $$has_opt = yes
88 am__make_dryrun = (target_option=n; $(am__make_running_with_option))
89 am__make_keepgoing = (target_option=k; $(am__make_running_with_option))
90 pkgdatadir = $(datadir)/@PACKAGE@
91 pkgincludedir = $(includedir)/@PACKAGE@
92 pkglibdir = $(libdir)/@PACKAGE@
93 pkglibexecdir = $(libexecdir)/@PACKAGE@
94 am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
95 install_sh_DATA = $(install_sh) -c -m 644
96 install_sh_PROGRAM = $(install_sh) -c
97 install_sh_SCRIPT = $(install_sh) -c
98 INSTALL_HEADER = $(INSTALL_DATA)
99 transform = $(program_transform_name)
100 NORMAL_INSTALL = :
101 PRE_INSTALL = :
102 POST_INSTALL = :
103 NORMAL_UNINSTALL = :
104 PRE_UNINSTALL = :
105 POST_UNINSTALL = :
106 build_triplet = @build@
107 host_triplet = @host@
108 target_triplet = @target@
109 check_PROGRAMS = $(am__EXEEXT_8)
110 EXTRA_PROGRAMS = $(am__EXEEXT_2) testsuite/common/bits-gen$(EXEEXT) \
111 testsuite/common/fpu-tst$(EXEEXT) $(am__EXEEXT_3) \
112 $(am__EXEEXT_4) $(am__EXEEXT_5) $(am__EXEEXT_6) \
113 $(am__EXEEXT_7)
114 @ENABLE_SIM_TRUE@am__append_1 = \
115 @ENABLE_SIM_TRUE@ $(srcroot)/include/sim/callback.h \
116 @ENABLE_SIM_TRUE@ $(srcroot)/include/sim/sim.h
117
118 @SIM_ENABLE_IGEN_TRUE@am__append_2 = $(IGEN)
119 @SIM_ENABLE_IGEN_TRUE@am__append_3 = igen/libigen.a
120 @SIM_ENABLE_IGEN_TRUE@am__append_4 = $(igen_IGEN_TOOLS)
121 @SIM_ENABLE_IGEN_TRUE@am__append_5 = $(igen_IGEN_TOOLS)
122 TESTS = testsuite/common/bits32m0$(EXEEXT) \
123 testsuite/common/bits32m31$(EXEEXT) \
124 testsuite/common/bits64m0$(EXEEXT) \
125 testsuite/common/bits64m63$(EXEEXT) \
126 testsuite/common/alu-tst$(EXEEXT)
127 @SIM_ENABLE_ARCH_bpf_TRUE@am__append_6 = $(bpf_BUILD_OUTPUTS)
128 @SIM_ENABLE_ARCH_bpf_TRUE@am__append_7 = $(bpf_BUILD_OUTPUTS)
129 @SIM_ENABLE_ARCH_cr16_TRUE@am__append_8 = $(cr16_BUILD_OUTPUTS)
130 @SIM_ENABLE_ARCH_cr16_TRUE@am__append_9 = cr16/gencode
131 @SIM_ENABLE_ARCH_cr16_TRUE@am__append_10 = $(cr16_BUILD_OUTPUTS)
132 @SIM_ENABLE_ARCH_cris_TRUE@am__append_11 = $(cris_BUILD_OUTPUTS)
133 @SIM_ENABLE_ARCH_cris_TRUE@am__append_12 = $(cris_BUILD_OUTPUTS)
134 @SIM_ENABLE_ARCH_d10v_TRUE@am__append_13 = $(d10v_BUILD_OUTPUTS)
135 @SIM_ENABLE_ARCH_d10v_TRUE@am__append_14 = d10v/gencode
136 @SIM_ENABLE_ARCH_d10v_TRUE@am__append_15 = $(d10v_BUILD_OUTPUTS)
137 @SIM_ENABLE_ARCH_frv_TRUE@am__append_16 = $(frv_BUILD_OUTPUTS)
138 @SIM_ENABLE_ARCH_frv_TRUE@am__append_17 = $(frv_BUILD_OUTPUTS)
139 @SIM_ENABLE_ARCH_iq2000_TRUE@am__append_18 = $(iq2000_BUILD_OUTPUTS)
140 @SIM_ENABLE_ARCH_iq2000_TRUE@am__append_19 = $(iq2000_BUILD_OUTPUTS)
141 @SIM_ENABLE_ARCH_lm32_TRUE@am__append_20 = $(lm32_BUILD_OUTPUTS)
142 @SIM_ENABLE_ARCH_lm32_TRUE@am__append_21 = $(lm32_BUILD_OUTPUTS)
143 @SIM_ENABLE_ARCH_m32c_TRUE@am__append_22 = $(m32c_BUILD_OUTPUTS)
144 @SIM_ENABLE_ARCH_m32c_TRUE@am__append_23 = m32c/opc2c
145 @SIM_ENABLE_ARCH_m32c_TRUE@am__append_24 = \
146 @SIM_ENABLE_ARCH_m32c_TRUE@ $(m32c_BUILD_OUTPUTS) \
147 @SIM_ENABLE_ARCH_m32c_TRUE@ m32c/m32c.c.log \
148 @SIM_ENABLE_ARCH_m32c_TRUE@ m32c/r8c.c.log
149
150 @SIM_ENABLE_ARCH_m32r_TRUE@am__append_25 = $(m32r_BUILD_OUTPUTS)
151 @SIM_ENABLE_ARCH_m32r_TRUE@am__append_26 = $(m32r_BUILD_OUTPUTS)
152 @SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_27 = $(m68hc11_BUILD_OUTPUTS)
153 @SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_28 = m68hc11/gencode
154 @SIM_ENABLE_ARCH_m68hc11_TRUE@am__append_29 = $(m68hc11_BUILD_OUTPUTS)
155 @SIM_ENABLE_ARCH_mn10300_TRUE@am__append_30 = $(mn10300_BUILD_OUTPUTS)
156 @SIM_ENABLE_ARCH_mn10300_TRUE@am__append_31 = $(mn10300_BUILD_OUTPUTS)
157 @SIM_ENABLE_ARCH_or1k_TRUE@am__append_32 = $(or1k_BUILD_OUTPUTS)
158 @SIM_ENABLE_ARCH_or1k_TRUE@am__append_33 = $(or1k_BUILD_OUTPUTS)
159 @SIM_ENABLE_ARCH_sh_TRUE@am__append_34 = $(sh_BUILD_OUTPUTS)
160 @SIM_ENABLE_ARCH_sh_TRUE@am__append_35 = sh/gencode
161 @SIM_ENABLE_ARCH_sh_TRUE@am__append_36 = $(sh_BUILD_OUTPUTS)
162 @SIM_ENABLE_ARCH_v850_TRUE@am__append_37 = $(v850_BUILD_OUTPUTS)
163 @SIM_ENABLE_ARCH_v850_TRUE@am__append_38 = $(v850_BUILD_OUTPUTS)
164 subdir = .
165 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
166 am__aclocal_m4_deps = $(top_srcdir)/../config/acx.m4 \
167 $(top_srcdir)/../config/depstand.m4 \
168 $(top_srcdir)/../config/gettext-sister.m4 \
169 $(top_srcdir)/../config/lead-dot.m4 \
170 $(top_srcdir)/../config/override.m4 \
171 $(top_srcdir)/../config/pkg.m4 \
172 $(top_srcdir)/../config/plugins.m4 \
173 $(top_srcdir)/../config/zlib.m4 $(top_srcdir)/../libtool.m4 \
174 $(top_srcdir)/../ltoptions.m4 $(top_srcdir)/../ltsugar.m4 \
175 $(top_srcdir)/../ltversion.m4 $(top_srcdir)/../lt~obsolete.m4 \
176 $(top_srcdir)/m4/sim_ac_option_alignment.m4 \
177 $(top_srcdir)/m4/sim_ac_option_assert.m4 \
178 $(top_srcdir)/m4/sim_ac_option_cgen_maint.m4 \
179 $(top_srcdir)/m4/sim_ac_option_debug.m4 \
180 $(top_srcdir)/m4/sim_ac_option_endian.m4 \
181 $(top_srcdir)/m4/sim_ac_option_environment.m4 \
182 $(top_srcdir)/m4/sim_ac_option_hardware.m4 \
183 $(top_srcdir)/m4/sim_ac_option_inline.m4 \
184 $(top_srcdir)/m4/sim_ac_option_profile.m4 \
185 $(top_srcdir)/m4/sim_ac_option_reserved_bits.m4 \
186 $(top_srcdir)/m4/sim_ac_option_scache.m4 \
187 $(top_srcdir)/m4/sim_ac_option_stdio.m4 \
188 $(top_srcdir)/m4/sim_ac_option_trace.m4 \
189 $(top_srcdir)/m4/sim_ac_option_warnings.m4 \
190 $(top_srcdir)/m4/sim_ac_platform.m4 \
191 $(top_srcdir)/m4/sim_ac_toolchain.m4 \
192 $(top_srcdir)/frv/acinclude.m4 $(top_srcdir)/rx/acinclude.m4 \
193 $(top_srcdir)/configure.ac
194 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
195 $(ACLOCAL_M4)
196 DIST_COMMON = $(srcdir)/Makefile.am $(top_srcdir)/configure \
197 $(am__configure_deps) $(am__pkginclude_HEADERS_DIST)
198 am__CONFIG_DISTCLEAN_FILES = config.status config.cache config.log \
199 configure.lineno config.status.lineno
200 mkinstalldirs = $(SHELL) $(top_srcdir)/../mkinstalldirs
201 CONFIG_HEADER = config.h
202 CONFIG_CLEAN_FILES = Make-common.sim aarch64/.gdbinit \
203 aarch64/Makefile.sim arm/.gdbinit arm/Makefile.sim \
204 avr/.gdbinit avr/Makefile.sim bfin/.gdbinit bfin/Makefile.sim \
205 cr16/.gdbinit cr16/Makefile.sim cris/.gdbinit \
206 cris/Makefile.sim d10v/.gdbinit d10v/Makefile.sim frv/.gdbinit \
207 frv/Makefile.sim ft32/.gdbinit ft32/Makefile.sim \
208 h8300/.gdbinit h8300/Makefile.sim iq2000/.gdbinit \
209 iq2000/Makefile.sim lm32/.gdbinit lm32/Makefile.sim \
210 m32c/.gdbinit m32c/Makefile.sim m32r/.gdbinit \
211 m32r/Makefile.sim m68hc11/.gdbinit m68hc11/Makefile.sim \
212 mcore/.gdbinit mcore/Makefile.sim microblaze/.gdbinit \
213 microblaze/Makefile.sim moxie/.gdbinit moxie/Makefile.sim \
214 msp430/.gdbinit msp430/Makefile.sim pru/.gdbinit \
215 pru/Makefile.sim rl78/.gdbinit rl78/Makefile.sim rx/.gdbinit \
216 rx/Makefile.sim sh/.gdbinit sh/Makefile.sim erc32/.gdbinit \
217 erc32/Makefile.sim example-synacor/.gdbinit \
218 example-synacor/Makefile.sim arch-subdir.mk .gdbinit
219 CONFIG_CLEAN_VPATH_FILES =
220 LIBRARIES = $(noinst_LIBRARIES)
221 ARFLAGS = cru
222 AM_V_AR = $(am__v_AR_@AM_V@)
223 am__v_AR_ = $(am__v_AR_@AM_DEFAULT_V@)
224 am__v_AR_0 = @echo " AR " $@;
225 am__v_AR_1 =
226 common_libcommon_a_AR = $(AR) $(ARFLAGS)
227 common_libcommon_a_LIBADD =
228 am__dirstamp = $(am__leading_dot)dirstamp
229 am_common_libcommon_a_OBJECTS = \
230 common/common_libcommon_a-callback.$(OBJEXT) \
231 common/common_libcommon_a-portability.$(OBJEXT) \
232 common/common_libcommon_a-sim-load.$(OBJEXT) \
233 common/common_libcommon_a-syscall.$(OBJEXT) \
234 common/common_libcommon_a-target-newlib-errno.$(OBJEXT) \
235 common/common_libcommon_a-target-newlib-open.$(OBJEXT) \
236 common/common_libcommon_a-target-newlib-signal.$(OBJEXT) \
237 common/common_libcommon_a-target-newlib-syscall.$(OBJEXT) \
238 common/common_libcommon_a-version.$(OBJEXT)
239 common_libcommon_a_OBJECTS = $(am_common_libcommon_a_OBJECTS)
240 igen_libigen_a_AR = $(AR) $(ARFLAGS)
241 igen_libigen_a_LIBADD =
242 @SIM_ENABLE_IGEN_TRUE@am_igen_libigen_a_OBJECTS = \
243 @SIM_ENABLE_IGEN_TRUE@ igen/table.$(OBJEXT) igen/lf.$(OBJEXT) \
244 @SIM_ENABLE_IGEN_TRUE@ igen/misc.$(OBJEXT) \
245 @SIM_ENABLE_IGEN_TRUE@ igen/filter_host.$(OBJEXT) \
246 @SIM_ENABLE_IGEN_TRUE@ igen/ld-decode.$(OBJEXT) \
247 @SIM_ENABLE_IGEN_TRUE@ igen/ld-cache.$(OBJEXT) \
248 @SIM_ENABLE_IGEN_TRUE@ igen/filter.$(OBJEXT) \
249 @SIM_ENABLE_IGEN_TRUE@ igen/ld-insn.$(OBJEXT) \
250 @SIM_ENABLE_IGEN_TRUE@ igen/gen-model.$(OBJEXT) \
251 @SIM_ENABLE_IGEN_TRUE@ igen/gen-itable.$(OBJEXT) \
252 @SIM_ENABLE_IGEN_TRUE@ igen/gen-icache.$(OBJEXT) \
253 @SIM_ENABLE_IGEN_TRUE@ igen/gen-semantics.$(OBJEXT) \
254 @SIM_ENABLE_IGEN_TRUE@ igen/gen-idecode.$(OBJEXT) \
255 @SIM_ENABLE_IGEN_TRUE@ igen/gen-support.$(OBJEXT) \
256 @SIM_ENABLE_IGEN_TRUE@ igen/gen-engine.$(OBJEXT) \
257 @SIM_ENABLE_IGEN_TRUE@ igen/gen.$(OBJEXT)
258 igen_libigen_a_OBJECTS = $(am_igen_libigen_a_OBJECTS)
259 @SIM_ENABLE_IGEN_TRUE@am__EXEEXT_1 = $(IGEN) igen/filter$(EXEEXT) \
260 @SIM_ENABLE_IGEN_TRUE@ igen/gen$(EXEEXT) igen/ld-cache$(EXEEXT) \
261 @SIM_ENABLE_IGEN_TRUE@ igen/ld-decode$(EXEEXT) \
262 @SIM_ENABLE_IGEN_TRUE@ igen/ld-insn$(EXEEXT) \
263 @SIM_ENABLE_IGEN_TRUE@ igen/table$(EXEEXT)
264 @SIM_ENABLE_IGEN_TRUE@am__EXEEXT_2 = $(am__EXEEXT_1)
265 @SIM_ENABLE_ARCH_cr16_TRUE@am__EXEEXT_3 = cr16/gencode$(EXEEXT)
266 @SIM_ENABLE_ARCH_d10v_TRUE@am__EXEEXT_4 = d10v/gencode$(EXEEXT)
267 @SIM_ENABLE_ARCH_m32c_TRUE@am__EXEEXT_5 = m32c/opc2c$(EXEEXT)
268 @SIM_ENABLE_ARCH_m68hc11_TRUE@am__EXEEXT_6 = m68hc11/gencode$(EXEEXT)
269 @SIM_ENABLE_ARCH_sh_TRUE@am__EXEEXT_7 = sh/gencode$(EXEEXT)
270 am__EXEEXT_8 = testsuite/common/bits32m0$(EXEEXT) \
271 testsuite/common/bits32m31$(EXEEXT) \
272 testsuite/common/bits64m0$(EXEEXT) \
273 testsuite/common/bits64m63$(EXEEXT) \
274 testsuite/common/alu-tst$(EXEEXT)
275 @SIM_ENABLE_ARCH_cr16_TRUE@am_cr16_gencode_OBJECTS = \
276 @SIM_ENABLE_ARCH_cr16_TRUE@ cr16/gencode.$(OBJEXT)
277 cr16_gencode_OBJECTS = $(am_cr16_gencode_OBJECTS)
278 @SIM_ENABLE_ARCH_cr16_TRUE@cr16_gencode_DEPENDENCIES = \
279 @SIM_ENABLE_ARCH_cr16_TRUE@ cr16/cr16-opc.o
280 AM_V_lt = $(am__v_lt_@AM_V@)
281 am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@)
282 am__v_lt_0 = --silent
283 am__v_lt_1 =
284 @SIM_ENABLE_ARCH_d10v_TRUE@am_d10v_gencode_OBJECTS = \
285 @SIM_ENABLE_ARCH_d10v_TRUE@ d10v/gencode.$(OBJEXT)
286 d10v_gencode_OBJECTS = $(am_d10v_gencode_OBJECTS)
287 @SIM_ENABLE_ARCH_d10v_TRUE@d10v_gencode_DEPENDENCIES = \
288 @SIM_ENABLE_ARCH_d10v_TRUE@ d10v/d10v-opc.o
289 am_igen_filter_OBJECTS =
290 igen_filter_OBJECTS = $(am_igen_filter_OBJECTS)
291 @SIM_ENABLE_IGEN_TRUE@igen_filter_DEPENDENCIES = igen/filter-main.o \
292 @SIM_ENABLE_IGEN_TRUE@ igen/libigen.a
293 am_igen_gen_OBJECTS =
294 igen_gen_OBJECTS = $(am_igen_gen_OBJECTS)
295 @SIM_ENABLE_IGEN_TRUE@igen_gen_DEPENDENCIES = igen/gen-main.o \
296 @SIM_ENABLE_IGEN_TRUE@ igen/libigen.a
297 @SIM_ENABLE_IGEN_TRUE@am_igen_igen_OBJECTS = igen/igen.$(OBJEXT)
298 igen_igen_OBJECTS = $(am_igen_igen_OBJECTS)
299 @SIM_ENABLE_IGEN_TRUE@igen_igen_DEPENDENCIES = igen/libigen.a
300 am_igen_ld_cache_OBJECTS =
301 igen_ld_cache_OBJECTS = $(am_igen_ld_cache_OBJECTS)
302 @SIM_ENABLE_IGEN_TRUE@igen_ld_cache_DEPENDENCIES = \
303 @SIM_ENABLE_IGEN_TRUE@ igen/ld-cache-main.o igen/libigen.a
304 am_igen_ld_decode_OBJECTS =
305 igen_ld_decode_OBJECTS = $(am_igen_ld_decode_OBJECTS)
306 @SIM_ENABLE_IGEN_TRUE@igen_ld_decode_DEPENDENCIES = \
307 @SIM_ENABLE_IGEN_TRUE@ igen/ld-decode-main.o igen/libigen.a
308 am_igen_ld_insn_OBJECTS =
309 igen_ld_insn_OBJECTS = $(am_igen_ld_insn_OBJECTS)
310 @SIM_ENABLE_IGEN_TRUE@igen_ld_insn_DEPENDENCIES = igen/ld-insn-main.o \
311 @SIM_ENABLE_IGEN_TRUE@ igen/libigen.a
312 am_igen_table_OBJECTS =
313 igen_table_OBJECTS = $(am_igen_table_OBJECTS)
314 @SIM_ENABLE_IGEN_TRUE@igen_table_DEPENDENCIES = igen/table-main.o \
315 @SIM_ENABLE_IGEN_TRUE@ igen/libigen.a
316 @SIM_ENABLE_ARCH_m32c_TRUE@am_m32c_opc2c_OBJECTS = \
317 @SIM_ENABLE_ARCH_m32c_TRUE@ m32c/opc2c.$(OBJEXT)
318 m32c_opc2c_OBJECTS = $(am_m32c_opc2c_OBJECTS)
319 m32c_opc2c_LDADD = $(LDADD)
320 @SIM_ENABLE_ARCH_m68hc11_TRUE@am_m68hc11_gencode_OBJECTS = \
321 @SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/gencode.$(OBJEXT)
322 m68hc11_gencode_OBJECTS = $(am_m68hc11_gencode_OBJECTS)
323 m68hc11_gencode_LDADD = $(LDADD)
324 @SIM_ENABLE_ARCH_sh_TRUE@am_sh_gencode_OBJECTS = sh/gencode.$(OBJEXT)
325 sh_gencode_OBJECTS = $(am_sh_gencode_OBJECTS)
326 sh_gencode_LDADD = $(LDADD)
327 testsuite_common_alu_tst_SOURCES = testsuite/common/alu-tst.c
328 testsuite_common_alu_tst_OBJECTS = testsuite/common/alu-tst.$(OBJEXT)
329 testsuite_common_alu_tst_LDADD = $(LDADD)
330 testsuite_common_bits_gen_SOURCES = testsuite/common/bits-gen.c
331 testsuite_common_bits_gen_OBJECTS = \
332 testsuite/common/bits-gen.$(OBJEXT)
333 testsuite_common_bits_gen_LDADD = $(LDADD)
334 testsuite_common_bits32m0_SOURCES = testsuite/common/bits32m0.c
335 testsuite_common_bits32m0_OBJECTS = \
336 testsuite/common/bits32m0.$(OBJEXT)
337 testsuite_common_bits32m0_LDADD = $(LDADD)
338 testsuite_common_bits32m31_SOURCES = testsuite/common/bits32m31.c
339 testsuite_common_bits32m31_OBJECTS = \
340 testsuite/common/bits32m31.$(OBJEXT)
341 testsuite_common_bits32m31_LDADD = $(LDADD)
342 testsuite_common_bits64m0_SOURCES = testsuite/common/bits64m0.c
343 testsuite_common_bits64m0_OBJECTS = \
344 testsuite/common/bits64m0.$(OBJEXT)
345 testsuite_common_bits64m0_LDADD = $(LDADD)
346 testsuite_common_bits64m63_SOURCES = testsuite/common/bits64m63.c
347 testsuite_common_bits64m63_OBJECTS = \
348 testsuite/common/bits64m63.$(OBJEXT)
349 testsuite_common_bits64m63_LDADD = $(LDADD)
350 testsuite_common_fpu_tst_SOURCES = testsuite/common/fpu-tst.c
351 testsuite_common_fpu_tst_OBJECTS = testsuite/common/fpu-tst.$(OBJEXT)
352 testsuite_common_fpu_tst_LDADD = $(LDADD)
353 AM_V_P = $(am__v_P_@AM_V@)
354 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
355 am__v_P_0 = false
356 am__v_P_1 = :
357 AM_V_GEN = $(am__v_GEN_@AM_V@)
358 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
359 am__v_GEN_0 = @echo " GEN " $@;
360 am__v_GEN_1 =
361 AM_V_at = $(am__v_at_@AM_V@)
362 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
363 am__v_at_0 = @
364 am__v_at_1 =
365 DEFAULT_INCLUDES = -I.@am__isrc@
366 depcomp = $(SHELL) $(top_srcdir)/../depcomp
367 am__depfiles_maybe = depfiles
368 am__mv = mv -f
369 COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
370 $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
371 LTCOMPILE = $(LIBTOOL) $(AM_V_lt) --tag=CC $(AM_LIBTOOLFLAGS) \
372 $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) \
373 $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) \
374 $(AM_CFLAGS) $(CFLAGS)
375 AM_V_CC = $(am__v_CC_@AM_V@)
376 am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@)
377 am__v_CC_0 = @echo " CC " $@;
378 am__v_CC_1 =
379 CCLD = $(CC)
380 LINK = $(LIBTOOL) $(AM_V_lt) --tag=CC $(AM_LIBTOOLFLAGS) \
381 $(LIBTOOLFLAGS) --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) \
382 $(AM_LDFLAGS) $(LDFLAGS) -o $@
383 AM_V_CCLD = $(am__v_CCLD_@AM_V@)
384 am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
385 am__v_CCLD_0 = @echo " CCLD " $@;
386 am__v_CCLD_1 =
387 SOURCES = $(common_libcommon_a_SOURCES) $(igen_libigen_a_SOURCES) \
388 $(cr16_gencode_SOURCES) $(d10v_gencode_SOURCES) \
389 $(igen_filter_SOURCES) $(igen_gen_SOURCES) \
390 $(igen_igen_SOURCES) $(igen_ld_cache_SOURCES) \
391 $(igen_ld_decode_SOURCES) $(igen_ld_insn_SOURCES) \
392 $(igen_table_SOURCES) $(m32c_opc2c_SOURCES) \
393 $(m68hc11_gencode_SOURCES) $(sh_gencode_SOURCES) \
394 testsuite/common/alu-tst.c testsuite/common/bits-gen.c \
395 testsuite/common/bits32m0.c testsuite/common/bits32m31.c \
396 testsuite/common/bits64m0.c testsuite/common/bits64m63.c \
397 testsuite/common/fpu-tst.c
398 RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
399 ctags-recursive dvi-recursive html-recursive info-recursive \
400 install-data-recursive install-dvi-recursive \
401 install-exec-recursive install-html-recursive \
402 install-info-recursive install-pdf-recursive \
403 install-ps-recursive install-recursive installcheck-recursive \
404 installdirs-recursive pdf-recursive ps-recursive \
405 tags-recursive uninstall-recursive
406 am__can_run_installinfo = \
407 case $$AM_UPDATE_INFO_DIR in \
408 n|no|NO) false;; \
409 *) (install-info --version) >/dev/null 2>&1;; \
410 esac
411 am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
412 am__vpath_adj = case $$p in \
413 $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
414 *) f=$$p;; \
415 esac;
416 am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
417 am__install_max = 40
418 am__nobase_strip_setup = \
419 srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
420 am__nobase_strip = \
421 for p in $$list; do echo "$$p"; done | sed -e "s|$$srcdirstrip/||"
422 am__nobase_list = $(am__nobase_strip_setup); \
423 for p in $$list; do echo "$$p $$p"; done | \
424 sed "s| $$srcdirstrip/| |;"' / .*\//!s/ .*/ ./; s,\( .*\)/[^/]*$$,\1,' | \
425 $(AWK) 'BEGIN { files["."] = "" } { files[$$2] = files[$$2] " " $$1; \
426 if (++n[$$2] == $(am__install_max)) \
427 { print $$2, files[$$2]; n[$$2] = 0; files[$$2] = "" } } \
428 END { for (dir in files) print dir, files[dir] }'
429 am__base_list = \
430 sed '$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;s/\n/ /g' | \
431 sed '$$!N;$$!N;$$!N;$$!N;s/\n/ /g'
432 am__uninstall_files_from_dir = { \
433 test -z "$$files" \
434 || { test ! -d "$$dir" && test ! -f "$$dir" && test ! -r "$$dir"; } \
435 || { echo " ( cd '$$dir' && rm -f" $$files ")"; \
436 $(am__cd) "$$dir" && rm -f $$files; }; \
437 }
438 am__installdirs = "$(DESTDIR)$(armdocdir)" "$(DESTDIR)$(dtbdir)" \
439 "$(DESTDIR)$(erc32docdir)" "$(DESTDIR)$(frvdocdir)" \
440 "$(DESTDIR)$(or1kdocdir)" "$(DESTDIR)$(ppcdocdir)" \
441 "$(DESTDIR)$(rxdocdir)" "$(DESTDIR)$(pkgincludedir)"
442 DATA = $(armdoc_DATA) $(dtb_DATA) $(erc32doc_DATA) $(frvdoc_DATA) \
443 $(or1kdoc_DATA) $(ppcdoc_DATA) $(rxdoc_DATA)
444 am__pkginclude_HEADERS_DIST = $(srcroot)/include/sim/callback.h \
445 $(srcroot)/include/sim/sim.h
446 HEADERS = $(pkginclude_HEADERS)
447 RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \
448 distclean-recursive maintainer-clean-recursive
449 am__recursive_targets = \
450 $(RECURSIVE_TARGETS) \
451 $(RECURSIVE_CLEAN_TARGETS) \
452 $(am__extra_recursive_targets)
453 AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
454 cscope check recheck
455 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) \
456 $(LISP)config.h.in
457 # Read a list of newline-separated strings from the standard input,
458 # and print each of them once, without duplicates. Input order is
459 # *not* preserved.
460 am__uniquify_input = $(AWK) '\
461 BEGIN { nonempty = 0; } \
462 { items[$$0] = 1; nonempty = 1; } \
463 END { if (nonempty) { for (i in items) print i; }; } \
464 '
465 # Make sure the list of sources is unique. This is necessary because,
466 # e.g., the same source file might be shared among _SOURCES variables
467 # for different programs/libraries.
468 am__define_uniq_tagged_files = \
469 list='$(am__tagged_files)'; \
470 unique=`for i in $$list; do \
471 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
472 done | $(am__uniquify_input)`
473 ETAGS = etags
474 CTAGS = ctags
475 CSCOPE = cscope
476 DEJATOOL = $(PACKAGE)
477 RUNTESTDEFAULTFLAGS = --tool $$tool --srcdir $$srcdir
478 EXPECT = expect
479 RUNTEST = runtest
480 am__tty_colors_dummy = \
481 mgn= red= grn= lgn= blu= brg= std=; \
482 am__color_tests=no
483 am__tty_colors = { \
484 $(am__tty_colors_dummy); \
485 if test "X$(AM_COLOR_TESTS)" = Xno; then \
486 am__color_tests=no; \
487 elif test "X$(AM_COLOR_TESTS)" = Xalways; then \
488 am__color_tests=yes; \
489 elif test "X$$TERM" != Xdumb && { test -t 1; } 2>/dev/null; then \
490 am__color_tests=yes; \
491 fi; \
492 if test $$am__color_tests = yes; then \
493 red='\e[0;31m'; \
494 grn='\e[0;32m'; \
495 lgn='\e[1;32m'; \
496 blu='\e[1;34m'; \
497 mgn='\e[0;35m'; \
498 brg='\e[1m'; \
499 std='\e[m'; \
500 fi; \
501 }
502 am__recheck_rx = ^[ ]*:recheck:[ ]*
503 am__global_test_result_rx = ^[ ]*:global-test-result:[ ]*
504 am__copy_in_global_log_rx = ^[ ]*:copy-in-global-log:[ ]*
505 # A command that, given a newline-separated list of test names on the
506 # standard input, print the name of the tests that are to be re-run
507 # upon "make recheck".
508 am__list_recheck_tests = $(AWK) '{ \
509 recheck = 1; \
510 while ((rc = (getline line < ($$0 ".trs"))) != 0) \
511 { \
512 if (rc < 0) \
513 { \
514 if ((getline line2 < ($$0 ".log")) < 0) \
515 recheck = 0; \
516 break; \
517 } \
518 else if (line ~ /$(am__recheck_rx)[nN][Oo]/) \
519 { \
520 recheck = 0; \
521 break; \
522 } \
523 else if (line ~ /$(am__recheck_rx)[yY][eE][sS]/) \
524 { \
525 break; \
526 } \
527 }; \
528 if (recheck) \
529 print $$0; \
530 close ($$0 ".trs"); \
531 close ($$0 ".log"); \
532 }'
533 # A command that, given a newline-separated list of test names on the
534 # standard input, create the global log from their .trs and .log files.
535 am__create_global_log = $(AWK) ' \
536 function fatal(msg) \
537 { \
538 print "fatal: making $@: " msg | "cat >&2"; \
539 exit 1; \
540 } \
541 function rst_section(header) \
542 { \
543 print header; \
544 len = length(header); \
545 for (i = 1; i <= len; i = i + 1) \
546 printf "="; \
547 printf "\n\n"; \
548 } \
549 { \
550 copy_in_global_log = 1; \
551 global_test_result = "RUN"; \
552 while ((rc = (getline line < ($$0 ".trs"))) != 0) \
553 { \
554 if (rc < 0) \
555 fatal("failed to read from " $$0 ".trs"); \
556 if (line ~ /$(am__global_test_result_rx)/) \
557 { \
558 sub("$(am__global_test_result_rx)", "", line); \
559 sub("[ ]*$$", "", line); \
560 global_test_result = line; \
561 } \
562 else if (line ~ /$(am__copy_in_global_log_rx)[nN][oO]/) \
563 copy_in_global_log = 0; \
564 }; \
565 if (copy_in_global_log) \
566 { \
567 rst_section(global_test_result ": " $$0); \
568 while ((rc = (getline line < ($$0 ".log"))) != 0) \
569 { \
570 if (rc < 0) \
571 fatal("failed to read from " $$0 ".log"); \
572 print line; \
573 }; \
574 printf "\n"; \
575 }; \
576 close ($$0 ".trs"); \
577 close ($$0 ".log"); \
578 }'
579 # Restructured Text title.
580 am__rst_title = { sed 's/.*/ & /;h;s/./=/g;p;x;s/ *$$//;p;g' && echo; }
581 # Solaris 10 'make', and several other traditional 'make' implementations,
582 # pass "-e" to $(SHELL), and POSIX 2008 even requires this. Work around it
583 # by disabling -e (using the XSI extension "set +e") if it's set.
584 am__sh_e_setup = case $$- in *e*) set +e;; esac
585 # Default flags passed to test drivers.
586 am__common_driver_flags = \
587 --color-tests "$$am__color_tests" \
588 --enable-hard-errors "$$am__enable_hard_errors" \
589 --expect-failure "$$am__expect_failure"
590 # To be inserted before the command running the test. Creates the
591 # directory for the log if needed. Stores in $dir the directory
592 # containing $f, in $tst the test, in $log the log. Executes the
593 # developer- defined test setup AM_TESTS_ENVIRONMENT (if any), and
594 # passes TESTS_ENVIRONMENT. Set up options for the wrapper that
595 # will run the test scripts (or their associated LOG_COMPILER, if
596 # thy have one).
597 am__check_pre = \
598 $(am__sh_e_setup); \
599 $(am__vpath_adj_setup) $(am__vpath_adj) \
600 $(am__tty_colors); \
601 srcdir=$(srcdir); export srcdir; \
602 case "$@" in \
603 */*) am__odir=`echo "./$@" | sed 's|/[^/]*$$||'`;; \
604 *) am__odir=.;; \
605 esac; \
606 test "x$$am__odir" = x"." || test -d "$$am__odir" \
607 || $(MKDIR_P) "$$am__odir" || exit $$?; \
608 if test -f "./$$f"; then dir=./; \
609 elif test -f "$$f"; then dir=; \
610 else dir="$(srcdir)/"; fi; \
611 tst=$$dir$$f; log='$@'; \
612 if test -n '$(DISABLE_HARD_ERRORS)'; then \
613 am__enable_hard_errors=no; \
614 else \
615 am__enable_hard_errors=yes; \
616 fi; \
617 case " $(XFAIL_TESTS) " in \
618 *[\ \ ]$$f[\ \ ]* | *[\ \ ]$$dir$$f[\ \ ]*) \
619 am__expect_failure=yes;; \
620 *) \
621 am__expect_failure=no;; \
622 esac; \
623 $(AM_TESTS_ENVIRONMENT) $(TESTS_ENVIRONMENT)
624 # A shell command to get the names of the tests scripts with any registered
625 # extension removed (i.e., equivalently, the names of the test logs, with
626 # the '.log' extension removed). The result is saved in the shell variable
627 # '$bases'. This honors runtime overriding of TESTS and TEST_LOGS. Sadly,
628 # we cannot use something simpler, involving e.g., "$(TEST_LOGS:.log=)",
629 # since that might cause problem with VPATH rewrites for suffix-less tests.
630 # See also 'test-harness-vpath-rewrite.sh' and 'test-trs-basic.sh'.
631 am__set_TESTS_bases = \
632 bases='$(TEST_LOGS)'; \
633 bases=`for i in $$bases; do echo $$i; done | sed 's/\.log$$//'`; \
634 bases=`echo $$bases`
635 RECHECK_LOGS = $(TEST_LOGS)
636 TEST_SUITE_LOG = test-suite.log
637 TEST_EXTENSIONS = @EXEEXT@ .test
638 LOG_DRIVER = $(SHELL) $(top_srcdir)/../test-driver
639 LOG_COMPILE = $(LOG_COMPILER) $(AM_LOG_FLAGS) $(LOG_FLAGS)
640 am__set_b = \
641 case '$@' in \
642 */*) \
643 case '$*' in \
644 */*) b='$*';; \
645 *) b=`echo '$@' | sed 's/\.log$$//'`; \
646 esac;; \
647 *) \
648 b='$*';; \
649 esac
650 am__test_logs1 = $(TESTS:=.log)
651 am__test_logs2 = $(am__test_logs1:@EXEEXT@.log=.log)
652 TEST_LOGS = $(am__test_logs2:.test.log=.log)
653 TEST_LOG_DRIVER = $(SHELL) $(top_srcdir)/../test-driver
654 TEST_LOG_COMPILE = $(TEST_LOG_COMPILER) $(AM_TEST_LOG_FLAGS) \
655 $(TEST_LOG_FLAGS)
656 DIST_SUBDIRS = $(SUBDIRS)
657 ACLOCAL = @ACLOCAL@
658 AMTAR = @AMTAR@
659 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
660 AR = @AR@
661 AR_FOR_BUILD = @AR_FOR_BUILD@
662 AS_FOR_TARGET = @AS_FOR_TARGET@
663 AS_FOR_TARGET_AARCH64 = @AS_FOR_TARGET_AARCH64@
664 AS_FOR_TARGET_ARM = @AS_FOR_TARGET_ARM@
665 AS_FOR_TARGET_AVR = @AS_FOR_TARGET_AVR@
666 AS_FOR_TARGET_BFIN = @AS_FOR_TARGET_BFIN@
667 AS_FOR_TARGET_BPF = @AS_FOR_TARGET_BPF@
668 AS_FOR_TARGET_CR16 = @AS_FOR_TARGET_CR16@
669 AS_FOR_TARGET_CRIS = @AS_FOR_TARGET_CRIS@
670 AS_FOR_TARGET_D10V = @AS_FOR_TARGET_D10V@
671 AS_FOR_TARGET_ERC32 = @AS_FOR_TARGET_ERC32@
672 AS_FOR_TARGET_EXAMPLE_SYNACOR = @AS_FOR_TARGET_EXAMPLE_SYNACOR@
673 AS_FOR_TARGET_FRV = @AS_FOR_TARGET_FRV@
674 AS_FOR_TARGET_FT32 = @AS_FOR_TARGET_FT32@
675 AS_FOR_TARGET_H8300 = @AS_FOR_TARGET_H8300@
676 AS_FOR_TARGET_IQ2000 = @AS_FOR_TARGET_IQ2000@
677 AS_FOR_TARGET_LM32 = @AS_FOR_TARGET_LM32@
678 AS_FOR_TARGET_M32C = @AS_FOR_TARGET_M32C@
679 AS_FOR_TARGET_M32R = @AS_FOR_TARGET_M32R@
680 AS_FOR_TARGET_M68HC11 = @AS_FOR_TARGET_M68HC11@
681 AS_FOR_TARGET_MCORE = @AS_FOR_TARGET_MCORE@
682 AS_FOR_TARGET_MICROBLAZE = @AS_FOR_TARGET_MICROBLAZE@
683 AS_FOR_TARGET_MIPS = @AS_FOR_TARGET_MIPS@
684 AS_FOR_TARGET_MN10300 = @AS_FOR_TARGET_MN10300@
685 AS_FOR_TARGET_MOXIE = @AS_FOR_TARGET_MOXIE@
686 AS_FOR_TARGET_MSP430 = @AS_FOR_TARGET_MSP430@
687 AS_FOR_TARGET_OR1K = @AS_FOR_TARGET_OR1K@
688 AS_FOR_TARGET_PPC = @AS_FOR_TARGET_PPC@
689 AS_FOR_TARGET_PRU = @AS_FOR_TARGET_PRU@
690 AS_FOR_TARGET_RISCV = @AS_FOR_TARGET_RISCV@
691 AS_FOR_TARGET_RL78 = @AS_FOR_TARGET_RL78@
692 AS_FOR_TARGET_RX = @AS_FOR_TARGET_RX@
693 AS_FOR_TARGET_SH = @AS_FOR_TARGET_SH@
694 AS_FOR_TARGET_V850 = @AS_FOR_TARGET_V850@
695 AUTOCONF = @AUTOCONF@
696 AUTOHEADER = @AUTOHEADER@
697 AUTOMAKE = @AUTOMAKE@
698 AWK = @AWK@
699 CATALOGS = @CATALOGS@
700 CATOBJEXT = @CATOBJEXT@
701 CC = @CC@
702 CCDEPMODE = @CCDEPMODE@
703 CC_FOR_BUILD = @CC_FOR_BUILD@
704 CC_FOR_TARGET = @CC_FOR_TARGET@
705 CC_FOR_TARGET_AARCH64 = @CC_FOR_TARGET_AARCH64@
706 CC_FOR_TARGET_ARM = @CC_FOR_TARGET_ARM@
707 CC_FOR_TARGET_AVR = @CC_FOR_TARGET_AVR@
708 CC_FOR_TARGET_BFIN = @CC_FOR_TARGET_BFIN@
709 CC_FOR_TARGET_BPF = @CC_FOR_TARGET_BPF@
710 CC_FOR_TARGET_CR16 = @CC_FOR_TARGET_CR16@
711 CC_FOR_TARGET_CRIS = @CC_FOR_TARGET_CRIS@
712 CC_FOR_TARGET_D10V = @CC_FOR_TARGET_D10V@
713 CC_FOR_TARGET_ERC32 = @CC_FOR_TARGET_ERC32@
714 CC_FOR_TARGET_EXAMPLE_SYNACOR = @CC_FOR_TARGET_EXAMPLE_SYNACOR@
715 CC_FOR_TARGET_FRV = @CC_FOR_TARGET_FRV@
716 CC_FOR_TARGET_FT32 = @CC_FOR_TARGET_FT32@
717 CC_FOR_TARGET_H8300 = @CC_FOR_TARGET_H8300@
718 CC_FOR_TARGET_IQ2000 = @CC_FOR_TARGET_IQ2000@
719 CC_FOR_TARGET_LM32 = @CC_FOR_TARGET_LM32@
720 CC_FOR_TARGET_M32C = @CC_FOR_TARGET_M32C@
721 CC_FOR_TARGET_M32R = @CC_FOR_TARGET_M32R@
722 CC_FOR_TARGET_M68HC11 = @CC_FOR_TARGET_M68HC11@
723 CC_FOR_TARGET_MCORE = @CC_FOR_TARGET_MCORE@
724 CC_FOR_TARGET_MICROBLAZE = @CC_FOR_TARGET_MICROBLAZE@
725 CC_FOR_TARGET_MIPS = @CC_FOR_TARGET_MIPS@
726 CC_FOR_TARGET_MN10300 = @CC_FOR_TARGET_MN10300@
727 CC_FOR_TARGET_MOXIE = @CC_FOR_TARGET_MOXIE@
728 CC_FOR_TARGET_MSP430 = @CC_FOR_TARGET_MSP430@
729 CC_FOR_TARGET_OR1K = @CC_FOR_TARGET_OR1K@
730 CC_FOR_TARGET_PPC = @CC_FOR_TARGET_PPC@
731 CC_FOR_TARGET_PRU = @CC_FOR_TARGET_PRU@
732 CC_FOR_TARGET_RISCV = @CC_FOR_TARGET_RISCV@
733 CC_FOR_TARGET_RL78 = @CC_FOR_TARGET_RL78@
734 CC_FOR_TARGET_RX = @CC_FOR_TARGET_RX@
735 CC_FOR_TARGET_SH = @CC_FOR_TARGET_SH@
736 CC_FOR_TARGET_V850 = @CC_FOR_TARGET_V850@
737 CFLAGS = @CFLAGS@
738 CFLAGS_FOR_BUILD = @CFLAGS_FOR_BUILD@
739 CGEN_MAINT = @CGEN_MAINT@
740 CPP = @CPP@
741 CPPFLAGS = @CPPFLAGS@
742 CYGPATH_W = @CYGPATH_W@
743 C_DIALECT = @C_DIALECT@
744 DATADIRNAME = @DATADIRNAME@
745 DEFS = @DEFS@
746 DEPDIR = @DEPDIR@
747 DSYMUTIL = @DSYMUTIL@
748 DTC = @DTC@
749 DUMPBIN = @DUMPBIN@
750 ECHO_C = @ECHO_C@
751 ECHO_N = @ECHO_N@
752 ECHO_T = @ECHO_T@
753 EGREP = @EGREP@
754 EXEEXT = @EXEEXT@
755 FGREP = @FGREP@
756 GENCAT = @GENCAT@
757 GMSGFMT = @GMSGFMT@
758 GREP = @GREP@
759 INCINTL = @INCINTL@
760 INSTALL = @INSTALL@
761 INSTALL_DATA = @INSTALL_DATA@
762 INSTALL_PROGRAM = @INSTALL_PROGRAM@
763 INSTALL_SCRIPT = @INSTALL_SCRIPT@
764 INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
765 INSTOBJEXT = @INSTOBJEXT@
766 LD = @LD@
767 LDFLAGS = @LDFLAGS@
768 LDFLAGS_FOR_BUILD = @LDFLAGS_FOR_BUILD@
769 LD_FOR_TARGET = @LD_FOR_TARGET@
770 LD_FOR_TARGET_AARCH64 = @LD_FOR_TARGET_AARCH64@
771 LD_FOR_TARGET_ARM = @LD_FOR_TARGET_ARM@
772 LD_FOR_TARGET_AVR = @LD_FOR_TARGET_AVR@
773 LD_FOR_TARGET_BFIN = @LD_FOR_TARGET_BFIN@
774 LD_FOR_TARGET_BPF = @LD_FOR_TARGET_BPF@
775 LD_FOR_TARGET_CR16 = @LD_FOR_TARGET_CR16@
776 LD_FOR_TARGET_CRIS = @LD_FOR_TARGET_CRIS@
777 LD_FOR_TARGET_D10V = @LD_FOR_TARGET_D10V@
778 LD_FOR_TARGET_ERC32 = @LD_FOR_TARGET_ERC32@
779 LD_FOR_TARGET_EXAMPLE_SYNACOR = @LD_FOR_TARGET_EXAMPLE_SYNACOR@
780 LD_FOR_TARGET_FRV = @LD_FOR_TARGET_FRV@
781 LD_FOR_TARGET_FT32 = @LD_FOR_TARGET_FT32@
782 LD_FOR_TARGET_H8300 = @LD_FOR_TARGET_H8300@
783 LD_FOR_TARGET_IQ2000 = @LD_FOR_TARGET_IQ2000@
784 LD_FOR_TARGET_LM32 = @LD_FOR_TARGET_LM32@
785 LD_FOR_TARGET_M32C = @LD_FOR_TARGET_M32C@
786 LD_FOR_TARGET_M32R = @LD_FOR_TARGET_M32R@
787 LD_FOR_TARGET_M68HC11 = @LD_FOR_TARGET_M68HC11@
788 LD_FOR_TARGET_MCORE = @LD_FOR_TARGET_MCORE@
789 LD_FOR_TARGET_MICROBLAZE = @LD_FOR_TARGET_MICROBLAZE@
790 LD_FOR_TARGET_MIPS = @LD_FOR_TARGET_MIPS@
791 LD_FOR_TARGET_MN10300 = @LD_FOR_TARGET_MN10300@
792 LD_FOR_TARGET_MOXIE = @LD_FOR_TARGET_MOXIE@
793 LD_FOR_TARGET_MSP430 = @LD_FOR_TARGET_MSP430@
794 LD_FOR_TARGET_OR1K = @LD_FOR_TARGET_OR1K@
795 LD_FOR_TARGET_PPC = @LD_FOR_TARGET_PPC@
796 LD_FOR_TARGET_PRU = @LD_FOR_TARGET_PRU@
797 LD_FOR_TARGET_RISCV = @LD_FOR_TARGET_RISCV@
798 LD_FOR_TARGET_RL78 = @LD_FOR_TARGET_RL78@
799 LD_FOR_TARGET_RX = @LD_FOR_TARGET_RX@
800 LD_FOR_TARGET_SH = @LD_FOR_TARGET_SH@
801 LD_FOR_TARGET_V850 = @LD_FOR_TARGET_V850@
802 LIBINTL = @LIBINTL@
803 LIBINTL_DEP = @LIBINTL_DEP@
804 LIBOBJS = @LIBOBJS@
805 LIBS = @LIBS@
806 LIBTOOL = @LIBTOOL@
807 LIPO = @LIPO@
808 LN_S = @LN_S@
809 LTLIBOBJS = @LTLIBOBJS@
810 MAINT = @MAINT@
811 MAKEINFO = @MAKEINFO@
812 MKDIR_P = @MKDIR_P@
813 NM = @NM@
814 NMEDIT = @NMEDIT@
815 OBJDUMP = @OBJDUMP@
816 OBJEXT = @OBJEXT@
817 OTOOL = @OTOOL@
818 OTOOL64 = @OTOOL64@
819 PACKAGE = @PACKAGE@
820 PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
821 PACKAGE_NAME = @PACKAGE_NAME@
822 PACKAGE_STRING = @PACKAGE_STRING@
823 PACKAGE_TARNAME = @PACKAGE_TARNAME@
824 PACKAGE_URL = @PACKAGE_URL@
825 PACKAGE_VERSION = @PACKAGE_VERSION@
826 PATH_SEPARATOR = @PATH_SEPARATOR@
827 PKGVERSION = @PKGVERSION@
828 PKG_CONFIG = @PKG_CONFIG@
829 PKG_CONFIG_LIBDIR = @PKG_CONFIG_LIBDIR@
830 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
831 POSUB = @POSUB@
832 RANLIB = @RANLIB@
833 RANLIB_FOR_BUILD = @RANLIB_FOR_BUILD@
834 READLINE_CFLAGS = @READLINE_CFLAGS@
835 READLINE_LIB = @READLINE_LIB@
836 REPORT_BUGS_TEXI = @REPORT_BUGS_TEXI@
837 REPORT_BUGS_TO = @REPORT_BUGS_TO@
838 SDL_CFLAGS = @SDL_CFLAGS@
839 SDL_LIBS = @SDL_LIBS@
840 SED = @SED@
841 SET_MAKE = @SET_MAKE@
842 SHELL = @SHELL@
843 SIM_COMMON_BUILD_FALSE = @SIM_COMMON_BUILD_FALSE@
844 SIM_COMMON_BUILD_TRUE = @SIM_COMMON_BUILD_TRUE@
845 SIM_FRV_TRAPDUMP_FLAGS = @SIM_FRV_TRAPDUMP_FLAGS@
846 SIM_INLINE = @SIM_INLINE@
847 SIM_PRIMARY_TARGET = @SIM_PRIMARY_TARGET@
848 SIM_RX_CYCLE_ACCURATE_FLAGS = @SIM_RX_CYCLE_ACCURATE_FLAGS@
849 SIM_SUBDIRS = @SIM_SUBDIRS@
850 SIM_TOOLCHAIN_VARS = @SIM_TOOLCHAIN_VARS@
851 STRIP = @STRIP@
852 TERMCAP_LIB = @TERMCAP_LIB@
853 USE_NLS = @USE_NLS@
854 VERSION = @VERSION@
855 WARN_CFLAGS = @WARN_CFLAGS@
856 WERROR_CFLAGS = @WERROR_CFLAGS@
857 XGETTEXT = @XGETTEXT@
858 abs_builddir = @abs_builddir@
859 abs_srcdir = @abs_srcdir@
860 abs_top_builddir = @abs_top_builddir@
861 abs_top_srcdir = @abs_top_srcdir@
862 ac_ct_CC = @ac_ct_CC@
863 ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
864 am__include = @am__include@
865 am__leading_dot = @am__leading_dot@
866 am__quote = @am__quote@
867 am__tar = @am__tar@
868 am__untar = @am__untar@
869 bindir = @bindir@
870 build = @build@
871 build_alias = @build_alias@
872 build_cpu = @build_cpu@
873 build_os = @build_os@
874 build_vendor = @build_vendor@
875 builddir = @builddir@
876 cgen = @cgen@
877 cgendir = @cgendir@
878 datadir = @datadir@
879 datarootdir = @datarootdir@
880 docdir = @docdir@
881 dvidir = @dvidir@
882 exec_prefix = @exec_prefix@
883 host = @host@
884 host_alias = @host_alias@
885 host_cpu = @host_cpu@
886 host_os = @host_os@
887 host_vendor = @host_vendor@
888 htmldir = @htmldir@
889 includedir = @includedir@
890 infodir = @infodir@
891 install_sh = @install_sh@
892 libdir = @libdir@
893 libexecdir = @libexecdir@
894 localedir = @localedir@
895 localstatedir = @localstatedir@
896 lt_cv_dlopen_libs = @lt_cv_dlopen_libs@
897 mandir = @mandir@
898 mkdir_p = @mkdir_p@
899 oldincludedir = @oldincludedir@
900 pdfdir = @pdfdir@
901 prefix = @prefix@
902 program_transform_name = @program_transform_name@
903 psdir = @psdir@
904 sbindir = @sbindir@
905 sharedstatedir = @sharedstatedir@
906 sim_bitsize = @sim_bitsize@
907 sim_float = @sim_float@
908 sim_hw_cflags = @sim_hw_cflags@
909 sim_hw_sockser = @sim_hw_sockser@
910 srcdir = @srcdir@
911 subdirs = @subdirs@
912 sysconfdir = @sysconfdir@
913 target = @target@
914 target_alias = @target_alias@
915 target_cpu = @target_cpu@
916 target_os = @target_os@
917 target_vendor = @target_vendor@
918 top_build_prefix = @top_build_prefix@
919 top_builddir = @top_builddir@
920 top_srcdir = @top_srcdir@
921 zlibdir = @zlibdir@
922 zlibinc = @zlibinc@
923 AUTOMAKE_OPTIONS = dejagnu foreign no-dist subdir-objects
924 ACLOCAL_AMFLAGS = -Im4 -I.. -I../config
925 srccom = $(srcdir)/common
926 srcroot = $(srcdir)/..
927 SUBDIRS = @subdirs@ $(SIM_SUBDIRS)
928 AM_MAKEFLAGS = SIM_PRIMARY_TARGET=$(SIM_PRIMARY_TARGET)
929 pkginclude_HEADERS = $(am__append_1)
930 noinst_LIBRARIES = common/libcommon.a $(am__append_3)
931 CLEANFILES = common/version.c common/version.c-stamp \
932 testsuite/common/bits-gen testsuite/common/bits32m0.c \
933 testsuite/common/bits32m31.c testsuite/common/bits64m0.c \
934 testsuite/common/bits64m63.c
935 DISTCLEANFILES =
936 MOSTLYCLEANFILES = core $(am__append_5) site-sim-config.exp \
937 testrun.log testrun.sum $(am__append_7) $(am__append_10) \
938 $(am__append_12) $(am__append_15) $(am__append_17) \
939 $(am__append_19) $(am__append_21) $(am__append_24) \
940 $(am__append_26) $(am__append_29) $(am__append_31) \
941 $(am__append_33) $(am__append_36) $(am__append_38)
942 AM_CFLAGS = $(WERROR_CFLAGS) $(WARN_CFLAGS)
943 AM_CPPFLAGS = -I$(srcroot)/include $(SIM_INLINE) -I$(srcdir)/common
944 COMPILE_FOR_BUILD = $(CC_FOR_BUILD) $(AM_CPPFLAGS) $(CFLAGS_FOR_BUILD)
945 LINK_FOR_BUILD = $(CC_FOR_BUILD) $(CFLAGS_FOR_BUILD) $(LDFLAGS_FOR_BUILD) -o $@
946 SIM_ALL_RECURSIVE_DEPS = common/libcommon.a $(am__append_2) \
947 $(am__append_6) $(am__append_8) $(am__append_11) \
948 $(am__append_13) $(am__append_16) $(am__append_18) \
949 $(am__append_20) $(am__append_22) $(am__append_25) \
950 $(am__append_27) $(am__append_30) $(am__append_32) \
951 $(am__append_34) $(am__append_37)
952 common_libcommon_a_CPPFLAGS = \
953 $(AM_CPPFLAGS) \
954 -DSIM_COMMON_BUILD \
955 -I../bfd \
956 -I..
957
958 common_libcommon_a_SOURCES = \
959 common/callback.c \
960 common/portability.c \
961 common/sim-load.c \
962 common/syscall.c \
963 common/target-newlib-errno.c \
964 common/target-newlib-open.c \
965 common/target-newlib-signal.c \
966 common/target-newlib-syscall.c \
967 common/version.c
968
969
970 # igen leaks memory, and therefore makes AddressSanitizer unhappy. Disable
971 # leak detection while running it.
972 @SIM_ENABLE_IGEN_TRUE@IGEN = igen/igen$(EXEEXT)
973 @SIM_ENABLE_IGEN_TRUE@IGEN_RUN = ASAN_OPTIONS=detect_leaks=0 $(IGEN)
974 @SIM_ENABLE_IGEN_TRUE@igen_libigen_a_SOURCES = \
975 @SIM_ENABLE_IGEN_TRUE@ igen/table.c \
976 @SIM_ENABLE_IGEN_TRUE@ igen/lf.c \
977 @SIM_ENABLE_IGEN_TRUE@ igen/misc.c \
978 @SIM_ENABLE_IGEN_TRUE@ igen/filter_host.c \
979 @SIM_ENABLE_IGEN_TRUE@ igen/ld-decode.c \
980 @SIM_ENABLE_IGEN_TRUE@ igen/ld-cache.c \
981 @SIM_ENABLE_IGEN_TRUE@ igen/filter.c \
982 @SIM_ENABLE_IGEN_TRUE@ igen/ld-insn.c \
983 @SIM_ENABLE_IGEN_TRUE@ igen/gen-model.c \
984 @SIM_ENABLE_IGEN_TRUE@ igen/gen-itable.c \
985 @SIM_ENABLE_IGEN_TRUE@ igen/gen-icache.c \
986 @SIM_ENABLE_IGEN_TRUE@ igen/gen-semantics.c \
987 @SIM_ENABLE_IGEN_TRUE@ igen/gen-idecode.c \
988 @SIM_ENABLE_IGEN_TRUE@ igen/gen-support.c \
989 @SIM_ENABLE_IGEN_TRUE@ igen/gen-engine.c \
990 @SIM_ENABLE_IGEN_TRUE@ igen/gen.c
991
992 @SIM_ENABLE_IGEN_TRUE@igen_igen_SOURCES = igen/igen.c
993 @SIM_ENABLE_IGEN_TRUE@igen_igen_LDADD = igen/libigen.a
994 @SIM_ENABLE_IGEN_TRUE@igen_filter_SOURCES =
995 @SIM_ENABLE_IGEN_TRUE@igen_filter_LDADD = igen/filter-main.o igen/libigen.a
996 @SIM_ENABLE_IGEN_TRUE@igen_gen_SOURCES =
997 @SIM_ENABLE_IGEN_TRUE@igen_gen_LDADD = igen/gen-main.o igen/libigen.a
998 @SIM_ENABLE_IGEN_TRUE@igen_ld_cache_SOURCES =
999 @SIM_ENABLE_IGEN_TRUE@igen_ld_cache_LDADD = igen/ld-cache-main.o igen/libigen.a
1000 @SIM_ENABLE_IGEN_TRUE@igen_ld_decode_SOURCES =
1001 @SIM_ENABLE_IGEN_TRUE@igen_ld_decode_LDADD = igen/ld-decode-main.o igen/libigen.a
1002 @SIM_ENABLE_IGEN_TRUE@igen_ld_insn_SOURCES =
1003 @SIM_ENABLE_IGEN_TRUE@igen_ld_insn_LDADD = igen/ld-insn-main.o igen/libigen.a
1004 @SIM_ENABLE_IGEN_TRUE@igen_table_SOURCES =
1005 @SIM_ENABLE_IGEN_TRUE@igen_table_LDADD = igen/table-main.o igen/libigen.a
1006 @SIM_ENABLE_IGEN_TRUE@igen_IGEN_TOOLS = \
1007 @SIM_ENABLE_IGEN_TRUE@ $(IGEN) \
1008 @SIM_ENABLE_IGEN_TRUE@ igen/filter \
1009 @SIM_ENABLE_IGEN_TRUE@ igen/gen \
1010 @SIM_ENABLE_IGEN_TRUE@ igen/ld-cache \
1011 @SIM_ENABLE_IGEN_TRUE@ igen/ld-decode \
1012 @SIM_ENABLE_IGEN_TRUE@ igen/ld-insn \
1013 @SIM_ENABLE_IGEN_TRUE@ igen/table
1014
1015 EXTRA_DEJAGNU_SITE_CONFIG = site-sim-config.exp
1016
1017 # Custom verbose test variables that automake doesn't provide (yet?).
1018 AM_V_RUNTEST = $(AM_V_RUNTEST_@AM_V@)
1019 AM_V_RUNTEST_ = $(AM_V_RUNTEST_@AM_DEFAULT_V@)
1020 AM_V_RUNTEST_0 = @echo " RUNTEST $(RUNTESTFLAGS) $*";
1021 AM_V_RUNTEST_1 =
1022 DO_RUNTEST = \
1023 LC_ALL=C; export LC_ALL; \
1024 EXPECT=${EXPECT} ; export EXPECT ; \
1025 runtest=$(RUNTEST); \
1026 $$runtest $(RUNTESTFLAGS)
1027
1028 testsuite_common_CPPFLAGS = \
1029 -I$(srcdir)/common \
1030 -I$(srcroot)/include \
1031 -I../bfd
1032
1033 @SIM_ENABLE_ARCH_arm_TRUE@armdocdir = $(docdir)/arm
1034 @SIM_ENABLE_ARCH_arm_TRUE@armdoc_DATA = arm/README
1035 @SIM_ENABLE_ARCH_bpf_TRUE@bpf_BUILD_OUTPUTS = \
1036 @SIM_ENABLE_ARCH_bpf_TRUE@ bpf/eng-le.h \
1037 @SIM_ENABLE_ARCH_bpf_TRUE@ bpf/mloop-le.c \
1038 @SIM_ENABLE_ARCH_bpf_TRUE@ bpf/stamp-mloop-le \
1039 @SIM_ENABLE_ARCH_bpf_TRUE@ bpf/eng-be.h \
1040 @SIM_ENABLE_ARCH_bpf_TRUE@ bpf/mloop-be.c \
1041 @SIM_ENABLE_ARCH_bpf_TRUE@ bpf/stamp-mloop-be
1042
1043 @SIM_ENABLE_ARCH_cr16_TRUE@cr16_BUILD_OUTPUTS = \
1044 @SIM_ENABLE_ARCH_cr16_TRUE@ cr16/gencode$(EXEEXT) \
1045 @SIM_ENABLE_ARCH_cr16_TRUE@ cr16/simops.h \
1046 @SIM_ENABLE_ARCH_cr16_TRUE@ cr16/table.c
1047
1048 @SIM_ENABLE_ARCH_cr16_TRUE@cr16_gencode_SOURCES = cr16/gencode.c
1049 @SIM_ENABLE_ARCH_cr16_TRUE@cr16_gencode_LDADD = cr16/cr16-opc.o
1050 @SIM_ENABLE_ARCH_cris_TRUE@cris_BUILD_OUTPUTS = \
1051 @SIM_ENABLE_ARCH_cris_TRUE@ cris/engv10.h \
1052 @SIM_ENABLE_ARCH_cris_TRUE@ cris/mloopv10f.c \
1053 @SIM_ENABLE_ARCH_cris_TRUE@ cris/stamp-mloop-v10f \
1054 @SIM_ENABLE_ARCH_cris_TRUE@ cris/engv32.h \
1055 @SIM_ENABLE_ARCH_cris_TRUE@ cris/mloopv32f.c \
1056 @SIM_ENABLE_ARCH_cris_TRUE@ cris/stamp-mloop-v32f
1057
1058 @SIM_ENABLE_ARCH_d10v_TRUE@d10v_BUILD_OUTPUTS = \
1059 @SIM_ENABLE_ARCH_d10v_TRUE@ d10v/gencode$(EXEEXT) \
1060 @SIM_ENABLE_ARCH_d10v_TRUE@ d10v/simops.h \
1061 @SIM_ENABLE_ARCH_d10v_TRUE@ d10v/table.c
1062
1063 @SIM_ENABLE_ARCH_d10v_TRUE@d10v_gencode_SOURCES = d10v/gencode.c
1064 @SIM_ENABLE_ARCH_d10v_TRUE@d10v_gencode_LDADD = d10v/d10v-opc.o
1065 @SIM_ENABLE_ARCH_erc32_TRUE@erc32docdir = $(docdir)/erc32
1066 @SIM_ENABLE_ARCH_erc32_TRUE@erc32doc_DATA = erc32/README.erc32 erc32/README.gdb erc32/README.sis
1067 @SIM_ENABLE_ARCH_frv_TRUE@frvdocdir = $(docdir)/frv
1068 @SIM_ENABLE_ARCH_frv_TRUE@frvdoc_DATA = frv/README
1069 @SIM_ENABLE_ARCH_frv_TRUE@frv_BUILD_OUTPUTS = \
1070 @SIM_ENABLE_ARCH_frv_TRUE@ frv/eng.h \
1071 @SIM_ENABLE_ARCH_frv_TRUE@ frv/mloop.c \
1072 @SIM_ENABLE_ARCH_frv_TRUE@ frv/stamp-mloop
1073
1074 @SIM_ENABLE_ARCH_iq2000_TRUE@iq2000_BUILD_OUTPUTS = \
1075 @SIM_ENABLE_ARCH_iq2000_TRUE@ iq2000/eng.h \
1076 @SIM_ENABLE_ARCH_iq2000_TRUE@ iq2000/mloop.c \
1077 @SIM_ENABLE_ARCH_iq2000_TRUE@ iq2000/stamp-mloop
1078
1079 @SIM_ENABLE_ARCH_lm32_TRUE@lm32_BUILD_OUTPUTS = \
1080 @SIM_ENABLE_ARCH_lm32_TRUE@ lm32/eng.h \
1081 @SIM_ENABLE_ARCH_lm32_TRUE@ lm32/mloop.c \
1082 @SIM_ENABLE_ARCH_lm32_TRUE@ lm32/stamp-mloop
1083
1084 @SIM_ENABLE_ARCH_m32c_TRUE@m32c_BUILD_OUTPUTS = \
1085 @SIM_ENABLE_ARCH_m32c_TRUE@ m32c/opc2c$(EXEEXT) \
1086 @SIM_ENABLE_ARCH_m32c_TRUE@ m32c/m32c.c \
1087 @SIM_ENABLE_ARCH_m32c_TRUE@ m32c/r8c.c
1088
1089 @SIM_ENABLE_ARCH_m32c_TRUE@m32c_opc2c_SOURCES = m32c/opc2c.c
1090
1091 # opc2c leaks memory, and therefore makes AddressSanitizer unhappy. Disable
1092 # leak detection while running it.
1093 @SIM_ENABLE_ARCH_m32c_TRUE@m32c_OPC2C_RUN = ASAN_OPTIONS=detect_leaks=0 m32c/opc2c$(EXEEXT)
1094 @SIM_ENABLE_ARCH_m32r_TRUE@m32r_BUILD_OUTPUTS = \
1095 @SIM_ENABLE_ARCH_m32r_TRUE@ m32r/eng.h \
1096 @SIM_ENABLE_ARCH_m32r_TRUE@ m32r/mloop.c \
1097 @SIM_ENABLE_ARCH_m32r_TRUE@ m32r/stamp-mloop \
1098 @SIM_ENABLE_ARCH_m32r_TRUE@ m32r/engx.h \
1099 @SIM_ENABLE_ARCH_m32r_TRUE@ m32r/mloopx.c \
1100 @SIM_ENABLE_ARCH_m32r_TRUE@ m32r/stamp-mloop-x \
1101 @SIM_ENABLE_ARCH_m32r_TRUE@ m32r/eng2.h \
1102 @SIM_ENABLE_ARCH_m32r_TRUE@ m32r/mloop2.c \
1103 @SIM_ENABLE_ARCH_m32r_TRUE@ m32r/stamp-mloop-2
1104
1105 @SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11_BUILD_OUTPUTS = \
1106 @SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/gencode$(EXEEXT) \
1107 @SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/m68hc11int.c \
1108 @SIM_ENABLE_ARCH_m68hc11_TRUE@ m68hc11/m68hc12int.c
1109
1110 @SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11_gencode_SOURCES = m68hc11/gencode.c
1111 @SIM_ENABLE_ARCH_mn10300_TRUE@mn10300_BUILT_SRC_FROM_IGEN = \
1112 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/icache.h \
1113 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/icache.c \
1114 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/idecode.h \
1115 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/idecode.c \
1116 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/semantics.h \
1117 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/semantics.c \
1118 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/model.h \
1119 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/model.c \
1120 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/support.h \
1121 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/support.c \
1122 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/itable.h \
1123 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/itable.c \
1124 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/engine.h \
1125 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/engine.c \
1126 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/irun.c
1127
1128 @SIM_ENABLE_ARCH_mn10300_TRUE@mn10300_BUILD_OUTPUTS = \
1129 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(mn10300_BUILT_SRC_FROM_IGEN) \
1130 @SIM_ENABLE_ARCH_mn10300_TRUE@ mn10300/stamp-igen
1131
1132 @SIM_ENABLE_ARCH_mn10300_TRUE@mn10300_IGEN_TRACE = # -G omit-line-numbers # -G trace-rule-selection -G trace-rule-rejection -G trace-entries
1133 @SIM_ENABLE_ARCH_mn10300_TRUE@mn10300_IGEN_INSN = $(srcdir)/mn10300/mn10300.igen
1134 @SIM_ENABLE_ARCH_mn10300_TRUE@mn10300_IGEN_INSN_INC = mn10300/am33.igen mn10300/am33-2.igen
1135 @SIM_ENABLE_ARCH_mn10300_TRUE@mn10300_IGEN_DC = $(srcdir)/mn10300/mn10300.dc
1136 @SIM_ENABLE_ARCH_moxie_TRUE@dtbdir = $(datadir)/gdb/dtb
1137 @SIM_ENABLE_ARCH_moxie_TRUE@dtb_DATA = moxie/moxie-gdb.dtb
1138 @SIM_ENABLE_ARCH_or1k_TRUE@or1kdocdir = $(docdir)/or1k
1139 @SIM_ENABLE_ARCH_or1k_TRUE@or1kdoc_DATA = or1k/README
1140 @SIM_ENABLE_ARCH_or1k_TRUE@or1k_BUILD_OUTPUTS = \
1141 @SIM_ENABLE_ARCH_or1k_TRUE@ or1k/eng.h \
1142 @SIM_ENABLE_ARCH_or1k_TRUE@ or1k/mloop.c \
1143 @SIM_ENABLE_ARCH_or1k_TRUE@ or1k/stamp-mloop
1144
1145 @SIM_ENABLE_ARCH_ppc_TRUE@ppcdocdir = $(docdir)/ppc
1146 @SIM_ENABLE_ARCH_ppc_TRUE@ppcdoc_DATA = ppc/BUGS ppc/INSTALL ppc/README ppc/RUN
1147 @SIM_ENABLE_ARCH_rx_TRUE@rxdocdir = $(docdir)/rx
1148 @SIM_ENABLE_ARCH_rx_TRUE@rxdoc_DATA = rx/README.txt
1149 @SIM_ENABLE_ARCH_sh_TRUE@sh_BUILD_OUTPUTS = \
1150 @SIM_ENABLE_ARCH_sh_TRUE@ sh/gencode$(EXEEXT) \
1151 @SIM_ENABLE_ARCH_sh_TRUE@ sh/code.c \
1152 @SIM_ENABLE_ARCH_sh_TRUE@ sh/ppi.c \
1153 @SIM_ENABLE_ARCH_sh_TRUE@ sh/table.c
1154
1155 @SIM_ENABLE_ARCH_sh_TRUE@sh_gencode_SOURCES = sh/gencode.c
1156 @SIM_ENABLE_ARCH_v850_TRUE@v850_BUILT_SRC_FROM_IGEN = \
1157 @SIM_ENABLE_ARCH_v850_TRUE@ v850/icache.h \
1158 @SIM_ENABLE_ARCH_v850_TRUE@ v850/icache.c \
1159 @SIM_ENABLE_ARCH_v850_TRUE@ v850/idecode.h \
1160 @SIM_ENABLE_ARCH_v850_TRUE@ v850/idecode.c \
1161 @SIM_ENABLE_ARCH_v850_TRUE@ v850/semantics.h \
1162 @SIM_ENABLE_ARCH_v850_TRUE@ v850/semantics.c \
1163 @SIM_ENABLE_ARCH_v850_TRUE@ v850/model.h \
1164 @SIM_ENABLE_ARCH_v850_TRUE@ v850/model.c \
1165 @SIM_ENABLE_ARCH_v850_TRUE@ v850/support.h \
1166 @SIM_ENABLE_ARCH_v850_TRUE@ v850/support.c \
1167 @SIM_ENABLE_ARCH_v850_TRUE@ v850/itable.h \
1168 @SIM_ENABLE_ARCH_v850_TRUE@ v850/itable.c \
1169 @SIM_ENABLE_ARCH_v850_TRUE@ v850/engine.h \
1170 @SIM_ENABLE_ARCH_v850_TRUE@ v850/engine.c \
1171 @SIM_ENABLE_ARCH_v850_TRUE@ v850/irun.c
1172
1173 @SIM_ENABLE_ARCH_v850_TRUE@v850_BUILD_OUTPUTS = \
1174 @SIM_ENABLE_ARCH_v850_TRUE@ $(v850_BUILT_SRC_FROM_IGEN) \
1175 @SIM_ENABLE_ARCH_v850_TRUE@ v850/stamp-igen
1176
1177 @SIM_ENABLE_ARCH_v850_TRUE@v850_IGEN_TRACE = # -G omit-line-numbers # -G trace-rule-selection -G trace-rule-rejection -G trace-entries
1178 @SIM_ENABLE_ARCH_v850_TRUE@v850_IGEN_INSN = $(srcdir)/v850/v850.igen
1179 @SIM_ENABLE_ARCH_v850_TRUE@v850_IGEN_DC = $(srcdir)/v850/v850-dc
1180 all: config.h
1181 $(MAKE) $(AM_MAKEFLAGS) all-recursive
1182
1183 .SUFFIXES:
1184 .SUFFIXES: .c .lo .log .o .obj .test .test$(EXEEXT) .trs
1185 am--refresh: Makefile
1186 @:
1187 $(srcdir)/Makefile.in: @MAINTAINER_MODE_TRUE@ $(srcdir)/Makefile.am $(srcdir)/common/local.mk $(srcdir)/igen/local.mk $(srcdir)/testsuite/local.mk $(srcdir)/testsuite/common/local.mk $(srcdir)/arm/local.mk $(srcdir)/bpf/local.mk $(srcdir)/cr16/local.mk $(srcdir)/cris/local.mk $(srcdir)/d10v/local.mk $(srcdir)/erc32/local.mk $(srcdir)/frv/local.mk $(srcdir)/iq2000/local.mk $(srcdir)/lm32/local.mk $(srcdir)/m32c/local.mk $(srcdir)/m32r/local.mk $(srcdir)/m68hc11/local.mk $(srcdir)/mn10300/local.mk $(srcdir)/moxie/local.mk $(srcdir)/or1k/local.mk $(srcdir)/ppc/local.mk $(srcdir)/rx/local.mk $(srcdir)/sh/local.mk $(srcdir)/v850/local.mk $(am__configure_deps)
1188 @for dep in $?; do \
1189 case '$(am__configure_deps)' in \
1190 *$$dep*) \
1191 echo ' cd $(srcdir) && $(AUTOMAKE) --foreign'; \
1192 $(am__cd) $(srcdir) && $(AUTOMAKE) --foreign \
1193 && exit 0; \
1194 exit 1;; \
1195 esac; \
1196 done; \
1197 echo ' cd $(top_srcdir) && $(AUTOMAKE) --foreign Makefile'; \
1198 $(am__cd) $(top_srcdir) && \
1199 $(AUTOMAKE) --foreign Makefile
1200 Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
1201 @case '$?' in \
1202 *config.status*) \
1203 echo ' $(SHELL) ./config.status'; \
1204 $(SHELL) ./config.status;; \
1205 *) \
1206 echo ' cd $(top_builddir) && $(SHELL) ./config.status $@ $(am__depfiles_maybe)'; \
1207 cd $(top_builddir) && $(SHELL) ./config.status $@ $(am__depfiles_maybe);; \
1208 esac;
1209 $(srcdir)/common/local.mk $(srcdir)/igen/local.mk $(srcdir)/testsuite/local.mk $(srcdir)/testsuite/common/local.mk $(srcdir)/arm/local.mk $(srcdir)/bpf/local.mk $(srcdir)/cr16/local.mk $(srcdir)/cris/local.mk $(srcdir)/d10v/local.mk $(srcdir)/erc32/local.mk $(srcdir)/frv/local.mk $(srcdir)/iq2000/local.mk $(srcdir)/lm32/local.mk $(srcdir)/m32c/local.mk $(srcdir)/m32r/local.mk $(srcdir)/m68hc11/local.mk $(srcdir)/mn10300/local.mk $(srcdir)/moxie/local.mk $(srcdir)/or1k/local.mk $(srcdir)/ppc/local.mk $(srcdir)/rx/local.mk $(srcdir)/sh/local.mk $(srcdir)/v850/local.mk $(am__empty):
1210
1211 $(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
1212 $(SHELL) ./config.status --recheck
1213
1214 $(top_srcdir)/configure: @MAINTAINER_MODE_TRUE@ $(am__configure_deps)
1215 $(am__cd) $(srcdir) && $(AUTOCONF)
1216 $(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
1217 $(am__cd) $(srcdir) && $(ACLOCAL) $(ACLOCAL_AMFLAGS)
1218 $(am__aclocal_m4_deps):
1219
1220 config.h: stamp-h1
1221 @test -f $@ || rm -f stamp-h1
1222 @test -f $@ || $(MAKE) $(AM_MAKEFLAGS) stamp-h1
1223
1224 stamp-h1: $(srcdir)/config.h.in $(top_builddir)/config.status
1225 @rm -f stamp-h1
1226 cd $(top_builddir) && $(SHELL) ./config.status config.h
1227 $(srcdir)/config.h.in: @MAINTAINER_MODE_TRUE@ $(am__configure_deps)
1228 ($(am__cd) $(top_srcdir) && $(AUTOHEADER))
1229 rm -f stamp-h1
1230 touch $@
1231
1232 distclean-hdr:
1233 -rm -f config.h stamp-h1
1234 Make-common.sim: $(top_builddir)/config.status $(top_srcdir)/common/Make-common.in
1235 cd $(top_builddir) && $(SHELL) ./config.status $@
1236 aarch64/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1237 cd $(top_builddir) && $(SHELL) ./config.status $@
1238 aarch64/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/aarch64/Makefile.in
1239 cd $(top_builddir) && $(SHELL) ./config.status $@
1240 arm/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1241 cd $(top_builddir) && $(SHELL) ./config.status $@
1242 arm/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/arm/Makefile.in
1243 cd $(top_builddir) && $(SHELL) ./config.status $@
1244 avr/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1245 cd $(top_builddir) && $(SHELL) ./config.status $@
1246 avr/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/avr/Makefile.in
1247 cd $(top_builddir) && $(SHELL) ./config.status $@
1248 bfin/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1249 cd $(top_builddir) && $(SHELL) ./config.status $@
1250 bfin/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/bfin/Makefile.in
1251 cd $(top_builddir) && $(SHELL) ./config.status $@
1252 cr16/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1253 cd $(top_builddir) && $(SHELL) ./config.status $@
1254 cr16/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/cr16/Makefile.in
1255 cd $(top_builddir) && $(SHELL) ./config.status $@
1256 cris/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1257 cd $(top_builddir) && $(SHELL) ./config.status $@
1258 cris/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/cris/Makefile.in
1259 cd $(top_builddir) && $(SHELL) ./config.status $@
1260 d10v/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1261 cd $(top_builddir) && $(SHELL) ./config.status $@
1262 d10v/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/d10v/Makefile.in
1263 cd $(top_builddir) && $(SHELL) ./config.status $@
1264 frv/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1265 cd $(top_builddir) && $(SHELL) ./config.status $@
1266 frv/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/frv/Makefile.in
1267 cd $(top_builddir) && $(SHELL) ./config.status $@
1268 ft32/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1269 cd $(top_builddir) && $(SHELL) ./config.status $@
1270 ft32/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/ft32/Makefile.in
1271 cd $(top_builddir) && $(SHELL) ./config.status $@
1272 h8300/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1273 cd $(top_builddir) && $(SHELL) ./config.status $@
1274 h8300/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/h8300/Makefile.in
1275 cd $(top_builddir) && $(SHELL) ./config.status $@
1276 iq2000/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1277 cd $(top_builddir) && $(SHELL) ./config.status $@
1278 iq2000/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/iq2000/Makefile.in
1279 cd $(top_builddir) && $(SHELL) ./config.status $@
1280 lm32/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1281 cd $(top_builddir) && $(SHELL) ./config.status $@
1282 lm32/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/lm32/Makefile.in
1283 cd $(top_builddir) && $(SHELL) ./config.status $@
1284 m32c/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1285 cd $(top_builddir) && $(SHELL) ./config.status $@
1286 m32c/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/m32c/Makefile.in
1287 cd $(top_builddir) && $(SHELL) ./config.status $@
1288 m32r/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1289 cd $(top_builddir) && $(SHELL) ./config.status $@
1290 m32r/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/m32r/Makefile.in
1291 cd $(top_builddir) && $(SHELL) ./config.status $@
1292 m68hc11/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1293 cd $(top_builddir) && $(SHELL) ./config.status $@
1294 m68hc11/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/m68hc11/Makefile.in
1295 cd $(top_builddir) && $(SHELL) ./config.status $@
1296 mcore/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1297 cd $(top_builddir) && $(SHELL) ./config.status $@
1298 mcore/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/mcore/Makefile.in
1299 cd $(top_builddir) && $(SHELL) ./config.status $@
1300 microblaze/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1301 cd $(top_builddir) && $(SHELL) ./config.status $@
1302 microblaze/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/microblaze/Makefile.in
1303 cd $(top_builddir) && $(SHELL) ./config.status $@
1304 moxie/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1305 cd $(top_builddir) && $(SHELL) ./config.status $@
1306 moxie/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/moxie/Makefile.in
1307 cd $(top_builddir) && $(SHELL) ./config.status $@
1308 msp430/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1309 cd $(top_builddir) && $(SHELL) ./config.status $@
1310 msp430/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/msp430/Makefile.in
1311 cd $(top_builddir) && $(SHELL) ./config.status $@
1312 pru/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1313 cd $(top_builddir) && $(SHELL) ./config.status $@
1314 pru/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/pru/Makefile.in
1315 cd $(top_builddir) && $(SHELL) ./config.status $@
1316 rl78/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1317 cd $(top_builddir) && $(SHELL) ./config.status $@
1318 rl78/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/rl78/Makefile.in
1319 cd $(top_builddir) && $(SHELL) ./config.status $@
1320 rx/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1321 cd $(top_builddir) && $(SHELL) ./config.status $@
1322 rx/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/rx/Makefile.in
1323 cd $(top_builddir) && $(SHELL) ./config.status $@
1324 sh/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1325 cd $(top_builddir) && $(SHELL) ./config.status $@
1326 sh/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/sh/Makefile.in
1327 cd $(top_builddir) && $(SHELL) ./config.status $@
1328 erc32/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1329 cd $(top_builddir) && $(SHELL) ./config.status $@
1330 erc32/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/erc32/Makefile.in
1331 cd $(top_builddir) && $(SHELL) ./config.status $@
1332 example-synacor/.gdbinit: $(top_builddir)/config.status $(top_srcdir)/common/gdbinit.in
1333 cd $(top_builddir) && $(SHELL) ./config.status $@
1334 example-synacor/Makefile.sim: $(top_builddir)/config.status $(top_srcdir)/example-synacor/Makefile.in
1335 cd $(top_builddir) && $(SHELL) ./config.status $@
1336 arch-subdir.mk: $(top_builddir)/config.status $(srcdir)/arch-subdir.mk.in
1337 cd $(top_builddir) && $(SHELL) ./config.status $@
1338 .gdbinit: $(top_builddir)/config.status $(srcdir)/gdbinit.in
1339 cd $(top_builddir) && $(SHELL) ./config.status $@
1340
1341 clean-noinstLIBRARIES:
1342 -test -z "$(noinst_LIBRARIES)" || rm -f $(noinst_LIBRARIES)
1343 common/$(am__dirstamp):
1344 @$(MKDIR_P) common
1345 @: > common/$(am__dirstamp)
1346 common/$(DEPDIR)/$(am__dirstamp):
1347 @$(MKDIR_P) common/$(DEPDIR)
1348 @: > common/$(DEPDIR)/$(am__dirstamp)
1349 common/common_libcommon_a-callback.$(OBJEXT): common/$(am__dirstamp) \
1350 common/$(DEPDIR)/$(am__dirstamp)
1351 common/common_libcommon_a-portability.$(OBJEXT): \
1352 common/$(am__dirstamp) common/$(DEPDIR)/$(am__dirstamp)
1353 common/common_libcommon_a-sim-load.$(OBJEXT): common/$(am__dirstamp) \
1354 common/$(DEPDIR)/$(am__dirstamp)
1355 common/common_libcommon_a-syscall.$(OBJEXT): common/$(am__dirstamp) \
1356 common/$(DEPDIR)/$(am__dirstamp)
1357 common/common_libcommon_a-target-newlib-errno.$(OBJEXT): \
1358 common/$(am__dirstamp) common/$(DEPDIR)/$(am__dirstamp)
1359 common/common_libcommon_a-target-newlib-open.$(OBJEXT): \
1360 common/$(am__dirstamp) common/$(DEPDIR)/$(am__dirstamp)
1361 common/common_libcommon_a-target-newlib-signal.$(OBJEXT): \
1362 common/$(am__dirstamp) common/$(DEPDIR)/$(am__dirstamp)
1363 common/common_libcommon_a-target-newlib-syscall.$(OBJEXT): \
1364 common/$(am__dirstamp) common/$(DEPDIR)/$(am__dirstamp)
1365 common/common_libcommon_a-version.$(OBJEXT): common/$(am__dirstamp) \
1366 common/$(DEPDIR)/$(am__dirstamp)
1367
1368 common/libcommon.a: $(common_libcommon_a_OBJECTS) $(common_libcommon_a_DEPENDENCIES) $(EXTRA_common_libcommon_a_DEPENDENCIES) common/$(am__dirstamp)
1369 $(AM_V_at)-rm -f common/libcommon.a
1370 $(AM_V_AR)$(common_libcommon_a_AR) common/libcommon.a $(common_libcommon_a_OBJECTS) $(common_libcommon_a_LIBADD)
1371 $(AM_V_at)$(RANLIB) common/libcommon.a
1372 igen/$(am__dirstamp):
1373 @$(MKDIR_P) igen
1374 @: > igen/$(am__dirstamp)
1375 igen/$(DEPDIR)/$(am__dirstamp):
1376 @$(MKDIR_P) igen/$(DEPDIR)
1377 @: > igen/$(DEPDIR)/$(am__dirstamp)
1378 igen/table.$(OBJEXT): igen/$(am__dirstamp) \
1379 igen/$(DEPDIR)/$(am__dirstamp)
1380 igen/lf.$(OBJEXT): igen/$(am__dirstamp) igen/$(DEPDIR)/$(am__dirstamp)
1381 igen/misc.$(OBJEXT): igen/$(am__dirstamp) \
1382 igen/$(DEPDIR)/$(am__dirstamp)
1383 igen/filter_host.$(OBJEXT): igen/$(am__dirstamp) \
1384 igen/$(DEPDIR)/$(am__dirstamp)
1385 igen/ld-decode.$(OBJEXT): igen/$(am__dirstamp) \
1386 igen/$(DEPDIR)/$(am__dirstamp)
1387 igen/ld-cache.$(OBJEXT): igen/$(am__dirstamp) \
1388 igen/$(DEPDIR)/$(am__dirstamp)
1389 igen/filter.$(OBJEXT): igen/$(am__dirstamp) \
1390 igen/$(DEPDIR)/$(am__dirstamp)
1391 igen/ld-insn.$(OBJEXT): igen/$(am__dirstamp) \
1392 igen/$(DEPDIR)/$(am__dirstamp)
1393 igen/gen-model.$(OBJEXT): igen/$(am__dirstamp) \
1394 igen/$(DEPDIR)/$(am__dirstamp)
1395 igen/gen-itable.$(OBJEXT): igen/$(am__dirstamp) \
1396 igen/$(DEPDIR)/$(am__dirstamp)
1397 igen/gen-icache.$(OBJEXT): igen/$(am__dirstamp) \
1398 igen/$(DEPDIR)/$(am__dirstamp)
1399 igen/gen-semantics.$(OBJEXT): igen/$(am__dirstamp) \
1400 igen/$(DEPDIR)/$(am__dirstamp)
1401 igen/gen-idecode.$(OBJEXT): igen/$(am__dirstamp) \
1402 igen/$(DEPDIR)/$(am__dirstamp)
1403 igen/gen-support.$(OBJEXT): igen/$(am__dirstamp) \
1404 igen/$(DEPDIR)/$(am__dirstamp)
1405 igen/gen-engine.$(OBJEXT): igen/$(am__dirstamp) \
1406 igen/$(DEPDIR)/$(am__dirstamp)
1407 igen/gen.$(OBJEXT): igen/$(am__dirstamp) \
1408 igen/$(DEPDIR)/$(am__dirstamp)
1409
1410 @SIM_ENABLE_IGEN_FALSE@igen/libigen.a: $(igen_libigen_a_OBJECTS) $(igen_libigen_a_DEPENDENCIES) $(EXTRA_igen_libigen_a_DEPENDENCIES) igen/$(am__dirstamp)
1411 @SIM_ENABLE_IGEN_FALSE@ $(AM_V_at)-rm -f igen/libigen.a
1412 @SIM_ENABLE_IGEN_FALSE@ $(AM_V_AR)$(igen_libigen_a_AR) igen/libigen.a $(igen_libigen_a_OBJECTS) $(igen_libigen_a_LIBADD)
1413 @SIM_ENABLE_IGEN_FALSE@ $(AM_V_at)$(RANLIB) igen/libigen.a
1414
1415 clean-checkPROGRAMS:
1416 @list='$(check_PROGRAMS)'; test -n "$$list" || exit 0; \
1417 echo " rm -f" $$list; \
1418 rm -f $$list || exit $$?; \
1419 test -n "$(EXEEXT)" || exit 0; \
1420 list=`for p in $$list; do echo "$$p"; done | sed 's/$(EXEEXT)$$//'`; \
1421 echo " rm -f" $$list; \
1422 rm -f $$list
1423 cr16/$(am__dirstamp):
1424 @$(MKDIR_P) cr16
1425 @: > cr16/$(am__dirstamp)
1426 cr16/$(DEPDIR)/$(am__dirstamp):
1427 @$(MKDIR_P) cr16/$(DEPDIR)
1428 @: > cr16/$(DEPDIR)/$(am__dirstamp)
1429 cr16/gencode.$(OBJEXT): cr16/$(am__dirstamp) \
1430 cr16/$(DEPDIR)/$(am__dirstamp)
1431
1432 @SIM_ENABLE_ARCH_cr16_FALSE@cr16/gencode$(EXEEXT): $(cr16_gencode_OBJECTS) $(cr16_gencode_DEPENDENCIES) $(EXTRA_cr16_gencode_DEPENDENCIES) cr16/$(am__dirstamp)
1433 @SIM_ENABLE_ARCH_cr16_FALSE@ @rm -f cr16/gencode$(EXEEXT)
1434 @SIM_ENABLE_ARCH_cr16_FALSE@ $(AM_V_CCLD)$(LINK) $(cr16_gencode_OBJECTS) $(cr16_gencode_LDADD) $(LIBS)
1435 d10v/$(am__dirstamp):
1436 @$(MKDIR_P) d10v
1437 @: > d10v/$(am__dirstamp)
1438 d10v/$(DEPDIR)/$(am__dirstamp):
1439 @$(MKDIR_P) d10v/$(DEPDIR)
1440 @: > d10v/$(DEPDIR)/$(am__dirstamp)
1441 d10v/gencode.$(OBJEXT): d10v/$(am__dirstamp) \
1442 d10v/$(DEPDIR)/$(am__dirstamp)
1443
1444 @SIM_ENABLE_ARCH_d10v_FALSE@d10v/gencode$(EXEEXT): $(d10v_gencode_OBJECTS) $(d10v_gencode_DEPENDENCIES) $(EXTRA_d10v_gencode_DEPENDENCIES) d10v/$(am__dirstamp)
1445 @SIM_ENABLE_ARCH_d10v_FALSE@ @rm -f d10v/gencode$(EXEEXT)
1446 @SIM_ENABLE_ARCH_d10v_FALSE@ $(AM_V_CCLD)$(LINK) $(d10v_gencode_OBJECTS) $(d10v_gencode_LDADD) $(LIBS)
1447
1448 igen/filter$(EXEEXT): $(igen_filter_OBJECTS) $(igen_filter_DEPENDENCIES) $(EXTRA_igen_filter_DEPENDENCIES) igen/$(am__dirstamp)
1449 @rm -f igen/filter$(EXEEXT)
1450 $(AM_V_CCLD)$(LINK) $(igen_filter_OBJECTS) $(igen_filter_LDADD) $(LIBS)
1451
1452 igen/gen$(EXEEXT): $(igen_gen_OBJECTS) $(igen_gen_DEPENDENCIES) $(EXTRA_igen_gen_DEPENDENCIES) igen/$(am__dirstamp)
1453 @rm -f igen/gen$(EXEEXT)
1454 $(AM_V_CCLD)$(LINK) $(igen_gen_OBJECTS) $(igen_gen_LDADD) $(LIBS)
1455 igen/igen.$(OBJEXT): igen/$(am__dirstamp) \
1456 igen/$(DEPDIR)/$(am__dirstamp)
1457
1458 @SIM_ENABLE_IGEN_FALSE@igen/igen$(EXEEXT): $(igen_igen_OBJECTS) $(igen_igen_DEPENDENCIES) $(EXTRA_igen_igen_DEPENDENCIES) igen/$(am__dirstamp)
1459 @SIM_ENABLE_IGEN_FALSE@ @rm -f igen/igen$(EXEEXT)
1460 @SIM_ENABLE_IGEN_FALSE@ $(AM_V_CCLD)$(LINK) $(igen_igen_OBJECTS) $(igen_igen_LDADD) $(LIBS)
1461
1462 igen/ld-cache$(EXEEXT): $(igen_ld_cache_OBJECTS) $(igen_ld_cache_DEPENDENCIES) $(EXTRA_igen_ld_cache_DEPENDENCIES) igen/$(am__dirstamp)
1463 @rm -f igen/ld-cache$(EXEEXT)
1464 $(AM_V_CCLD)$(LINK) $(igen_ld_cache_OBJECTS) $(igen_ld_cache_LDADD) $(LIBS)
1465
1466 igen/ld-decode$(EXEEXT): $(igen_ld_decode_OBJECTS) $(igen_ld_decode_DEPENDENCIES) $(EXTRA_igen_ld_decode_DEPENDENCIES) igen/$(am__dirstamp)
1467 @rm -f igen/ld-decode$(EXEEXT)
1468 $(AM_V_CCLD)$(LINK) $(igen_ld_decode_OBJECTS) $(igen_ld_decode_LDADD) $(LIBS)
1469
1470 igen/ld-insn$(EXEEXT): $(igen_ld_insn_OBJECTS) $(igen_ld_insn_DEPENDENCIES) $(EXTRA_igen_ld_insn_DEPENDENCIES) igen/$(am__dirstamp)
1471 @rm -f igen/ld-insn$(EXEEXT)
1472 $(AM_V_CCLD)$(LINK) $(igen_ld_insn_OBJECTS) $(igen_ld_insn_LDADD) $(LIBS)
1473
1474 igen/table$(EXEEXT): $(igen_table_OBJECTS) $(igen_table_DEPENDENCIES) $(EXTRA_igen_table_DEPENDENCIES) igen/$(am__dirstamp)
1475 @rm -f igen/table$(EXEEXT)
1476 $(AM_V_CCLD)$(LINK) $(igen_table_OBJECTS) $(igen_table_LDADD) $(LIBS)
1477 m32c/$(am__dirstamp):
1478 @$(MKDIR_P) m32c
1479 @: > m32c/$(am__dirstamp)
1480 m32c/$(DEPDIR)/$(am__dirstamp):
1481 @$(MKDIR_P) m32c/$(DEPDIR)
1482 @: > m32c/$(DEPDIR)/$(am__dirstamp)
1483 m32c/opc2c.$(OBJEXT): m32c/$(am__dirstamp) \
1484 m32c/$(DEPDIR)/$(am__dirstamp)
1485
1486 @SIM_ENABLE_ARCH_m32c_FALSE@m32c/opc2c$(EXEEXT): $(m32c_opc2c_OBJECTS) $(m32c_opc2c_DEPENDENCIES) $(EXTRA_m32c_opc2c_DEPENDENCIES) m32c/$(am__dirstamp)
1487 @SIM_ENABLE_ARCH_m32c_FALSE@ @rm -f m32c/opc2c$(EXEEXT)
1488 @SIM_ENABLE_ARCH_m32c_FALSE@ $(AM_V_CCLD)$(LINK) $(m32c_opc2c_OBJECTS) $(m32c_opc2c_LDADD) $(LIBS)
1489 m68hc11/$(am__dirstamp):
1490 @$(MKDIR_P) m68hc11
1491 @: > m68hc11/$(am__dirstamp)
1492 m68hc11/$(DEPDIR)/$(am__dirstamp):
1493 @$(MKDIR_P) m68hc11/$(DEPDIR)
1494 @: > m68hc11/$(DEPDIR)/$(am__dirstamp)
1495 m68hc11/gencode.$(OBJEXT): m68hc11/$(am__dirstamp) \
1496 m68hc11/$(DEPDIR)/$(am__dirstamp)
1497
1498 @SIM_ENABLE_ARCH_m68hc11_FALSE@m68hc11/gencode$(EXEEXT): $(m68hc11_gencode_OBJECTS) $(m68hc11_gencode_DEPENDENCIES) $(EXTRA_m68hc11_gencode_DEPENDENCIES) m68hc11/$(am__dirstamp)
1499 @SIM_ENABLE_ARCH_m68hc11_FALSE@ @rm -f m68hc11/gencode$(EXEEXT)
1500 @SIM_ENABLE_ARCH_m68hc11_FALSE@ $(AM_V_CCLD)$(LINK) $(m68hc11_gencode_OBJECTS) $(m68hc11_gencode_LDADD) $(LIBS)
1501 sh/$(am__dirstamp):
1502 @$(MKDIR_P) sh
1503 @: > sh/$(am__dirstamp)
1504 sh/$(DEPDIR)/$(am__dirstamp):
1505 @$(MKDIR_P) sh/$(DEPDIR)
1506 @: > sh/$(DEPDIR)/$(am__dirstamp)
1507 sh/gencode.$(OBJEXT): sh/$(am__dirstamp) sh/$(DEPDIR)/$(am__dirstamp)
1508
1509 @SIM_ENABLE_ARCH_sh_FALSE@sh/gencode$(EXEEXT): $(sh_gencode_OBJECTS) $(sh_gencode_DEPENDENCIES) $(EXTRA_sh_gencode_DEPENDENCIES) sh/$(am__dirstamp)
1510 @SIM_ENABLE_ARCH_sh_FALSE@ @rm -f sh/gencode$(EXEEXT)
1511 @SIM_ENABLE_ARCH_sh_FALSE@ $(AM_V_CCLD)$(LINK) $(sh_gencode_OBJECTS) $(sh_gencode_LDADD) $(LIBS)
1512 testsuite/common/$(am__dirstamp):
1513 @$(MKDIR_P) testsuite/common
1514 @: > testsuite/common/$(am__dirstamp)
1515 testsuite/common/$(DEPDIR)/$(am__dirstamp):
1516 @$(MKDIR_P) testsuite/common/$(DEPDIR)
1517 @: > testsuite/common/$(DEPDIR)/$(am__dirstamp)
1518 testsuite/common/alu-tst.$(OBJEXT): testsuite/common/$(am__dirstamp) \
1519 testsuite/common/$(DEPDIR)/$(am__dirstamp)
1520 testsuite/common/bits-gen.$(OBJEXT): testsuite/common/$(am__dirstamp) \
1521 testsuite/common/$(DEPDIR)/$(am__dirstamp)
1522 testsuite/common/bits32m0.$(OBJEXT): testsuite/common/$(am__dirstamp) \
1523 testsuite/common/$(DEPDIR)/$(am__dirstamp)
1524 testsuite/common/bits32m31.$(OBJEXT): \
1525 testsuite/common/$(am__dirstamp) \
1526 testsuite/common/$(DEPDIR)/$(am__dirstamp)
1527 testsuite/common/bits64m0.$(OBJEXT): testsuite/common/$(am__dirstamp) \
1528 testsuite/common/$(DEPDIR)/$(am__dirstamp)
1529 testsuite/common/bits64m63.$(OBJEXT): \
1530 testsuite/common/$(am__dirstamp) \
1531 testsuite/common/$(DEPDIR)/$(am__dirstamp)
1532 testsuite/common/fpu-tst.$(OBJEXT): testsuite/common/$(am__dirstamp) \
1533 testsuite/common/$(DEPDIR)/$(am__dirstamp)
1534
1535 mostlyclean-compile:
1536 -rm -f *.$(OBJEXT)
1537 -rm -f common/*.$(OBJEXT)
1538 -rm -f cr16/*.$(OBJEXT)
1539 -rm -f d10v/*.$(OBJEXT)
1540 -rm -f igen/*.$(OBJEXT)
1541 -rm -f m32c/*.$(OBJEXT)
1542 -rm -f m68hc11/*.$(OBJEXT)
1543 -rm -f sh/*.$(OBJEXT)
1544 -rm -f testsuite/common/*.$(OBJEXT)
1545
1546 distclean-compile:
1547 -rm -f *.tab.c
1548
1549 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/common_libcommon_a-callback.Po@am__quote@
1550 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/common_libcommon_a-portability.Po@am__quote@
1551 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/common_libcommon_a-sim-load.Po@am__quote@
1552 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/common_libcommon_a-syscall.Po@am__quote@
1553 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/common_libcommon_a-target-newlib-errno.Po@am__quote@
1554 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/common_libcommon_a-target-newlib-open.Po@am__quote@
1555 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/common_libcommon_a-target-newlib-signal.Po@am__quote@
1556 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/common_libcommon_a-target-newlib-syscall.Po@am__quote@
1557 @AMDEP_TRUE@@am__include@ @am__quote@common/$(DEPDIR)/common_libcommon_a-version.Po@am__quote@
1558 @AMDEP_TRUE@@am__include@ @am__quote@cr16/$(DEPDIR)/gencode.Po@am__quote@
1559 @AMDEP_TRUE@@am__include@ @am__quote@d10v/$(DEPDIR)/gencode.Po@am__quote@
1560 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/filter.Po@am__quote@
1561 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/filter_host.Po@am__quote@
1562 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/gen-engine.Po@am__quote@
1563 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/gen-icache.Po@am__quote@
1564 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/gen-idecode.Po@am__quote@
1565 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/gen-itable.Po@am__quote@
1566 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/gen-model.Po@am__quote@
1567 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/gen-semantics.Po@am__quote@
1568 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/gen-support.Po@am__quote@
1569 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/gen.Po@am__quote@
1570 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/igen.Po@am__quote@
1571 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/ld-cache.Po@am__quote@
1572 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/ld-decode.Po@am__quote@
1573 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/ld-insn.Po@am__quote@
1574 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/lf.Po@am__quote@
1575 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/misc.Po@am__quote@
1576 @AMDEP_TRUE@@am__include@ @am__quote@igen/$(DEPDIR)/table.Po@am__quote@
1577 @AMDEP_TRUE@@am__include@ @am__quote@m32c/$(DEPDIR)/opc2c.Po@am__quote@
1578 @AMDEP_TRUE@@am__include@ @am__quote@m68hc11/$(DEPDIR)/gencode.Po@am__quote@
1579 @AMDEP_TRUE@@am__include@ @am__quote@sh/$(DEPDIR)/gencode.Po@am__quote@
1580 @AMDEP_TRUE@@am__include@ @am__quote@testsuite/common/$(DEPDIR)/alu-tst.Po@am__quote@
1581 @AMDEP_TRUE@@am__include@ @am__quote@testsuite/common/$(DEPDIR)/bits-gen.Po@am__quote@
1582 @AMDEP_TRUE@@am__include@ @am__quote@testsuite/common/$(DEPDIR)/bits32m0.Po@am__quote@
1583 @AMDEP_TRUE@@am__include@ @am__quote@testsuite/common/$(DEPDIR)/bits32m31.Po@am__quote@
1584 @AMDEP_TRUE@@am__include@ @am__quote@testsuite/common/$(DEPDIR)/bits64m0.Po@am__quote@
1585 @AMDEP_TRUE@@am__include@ @am__quote@testsuite/common/$(DEPDIR)/bits64m63.Po@am__quote@
1586 @AMDEP_TRUE@@am__include@ @am__quote@testsuite/common/$(DEPDIR)/fpu-tst.Po@am__quote@
1587
1588 .c.o:
1589 @am__fastdepCC_TRUE@ $(AM_V_CC)depbase=`echo $@ | sed 's|[^/]*$$|$(DEPDIR)/&|;s|\.o$$||'`;\
1590 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $$depbase.Tpo -c -o $@ $< &&\
1591 @am__fastdepCC_TRUE@ $(am__mv) $$depbase.Tpo $$depbase.Po
1592 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
1593 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1594 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c -o $@ $<
1595
1596 .c.obj:
1597 @am__fastdepCC_TRUE@ $(AM_V_CC)depbase=`echo $@ | sed 's|[^/]*$$|$(DEPDIR)/&|;s|\.obj$$||'`;\
1598 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $$depbase.Tpo -c -o $@ `$(CYGPATH_W) '$<'` &&\
1599 @am__fastdepCC_TRUE@ $(am__mv) $$depbase.Tpo $$depbase.Po
1600 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
1601 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1602 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
1603
1604 .c.lo:
1605 @am__fastdepCC_TRUE@ $(AM_V_CC)depbase=`echo $@ | sed 's|[^/]*$$|$(DEPDIR)/&|;s|\.lo$$||'`;\
1606 @am__fastdepCC_TRUE@ $(LTCOMPILE) -MT $@ -MD -MP -MF $$depbase.Tpo -c -o $@ $< &&\
1607 @am__fastdepCC_TRUE@ $(am__mv) $$depbase.Tpo $$depbase.Plo
1608 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
1609 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1610 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(LTCOMPILE) -c -o $@ $<
1611
1612 common/common_libcommon_a-callback.o: common/callback.c
1613 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-callback.o -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-callback.Tpo -c -o common/common_libcommon_a-callback.o `test -f 'common/callback.c' || echo '$(srcdir)/'`common/callback.c
1614 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-callback.Tpo common/$(DEPDIR)/common_libcommon_a-callback.Po
1615 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/callback.c' object='common/common_libcommon_a-callback.o' libtool=no @AMDEPBACKSLASH@
1616 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1617 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-callback.o `test -f 'common/callback.c' || echo '$(srcdir)/'`common/callback.c
1618
1619 common/common_libcommon_a-callback.obj: common/callback.c
1620 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-callback.obj -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-callback.Tpo -c -o common/common_libcommon_a-callback.obj `if test -f 'common/callback.c'; then $(CYGPATH_W) 'common/callback.c'; else $(CYGPATH_W) '$(srcdir)/common/callback.c'; fi`
1621 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-callback.Tpo common/$(DEPDIR)/common_libcommon_a-callback.Po
1622 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/callback.c' object='common/common_libcommon_a-callback.obj' libtool=no @AMDEPBACKSLASH@
1623 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1624 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-callback.obj `if test -f 'common/callback.c'; then $(CYGPATH_W) 'common/callback.c'; else $(CYGPATH_W) '$(srcdir)/common/callback.c'; fi`
1625
1626 common/common_libcommon_a-portability.o: common/portability.c
1627 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-portability.o -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-portability.Tpo -c -o common/common_libcommon_a-portability.o `test -f 'common/portability.c' || echo '$(srcdir)/'`common/portability.c
1628 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-portability.Tpo common/$(DEPDIR)/common_libcommon_a-portability.Po
1629 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/portability.c' object='common/common_libcommon_a-portability.o' libtool=no @AMDEPBACKSLASH@
1630 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1631 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-portability.o `test -f 'common/portability.c' || echo '$(srcdir)/'`common/portability.c
1632
1633 common/common_libcommon_a-portability.obj: common/portability.c
1634 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-portability.obj -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-portability.Tpo -c -o common/common_libcommon_a-portability.obj `if test -f 'common/portability.c'; then $(CYGPATH_W) 'common/portability.c'; else $(CYGPATH_W) '$(srcdir)/common/portability.c'; fi`
1635 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-portability.Tpo common/$(DEPDIR)/common_libcommon_a-portability.Po
1636 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/portability.c' object='common/common_libcommon_a-portability.obj' libtool=no @AMDEPBACKSLASH@
1637 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1638 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-portability.obj `if test -f 'common/portability.c'; then $(CYGPATH_W) 'common/portability.c'; else $(CYGPATH_W) '$(srcdir)/common/portability.c'; fi`
1639
1640 common/common_libcommon_a-sim-load.o: common/sim-load.c
1641 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-sim-load.o -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-sim-load.Tpo -c -o common/common_libcommon_a-sim-load.o `test -f 'common/sim-load.c' || echo '$(srcdir)/'`common/sim-load.c
1642 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-sim-load.Tpo common/$(DEPDIR)/common_libcommon_a-sim-load.Po
1643 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/sim-load.c' object='common/common_libcommon_a-sim-load.o' libtool=no @AMDEPBACKSLASH@
1644 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1645 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-sim-load.o `test -f 'common/sim-load.c' || echo '$(srcdir)/'`common/sim-load.c
1646
1647 common/common_libcommon_a-sim-load.obj: common/sim-load.c
1648 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-sim-load.obj -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-sim-load.Tpo -c -o common/common_libcommon_a-sim-load.obj `if test -f 'common/sim-load.c'; then $(CYGPATH_W) 'common/sim-load.c'; else $(CYGPATH_W) '$(srcdir)/common/sim-load.c'; fi`
1649 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-sim-load.Tpo common/$(DEPDIR)/common_libcommon_a-sim-load.Po
1650 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/sim-load.c' object='common/common_libcommon_a-sim-load.obj' libtool=no @AMDEPBACKSLASH@
1651 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1652 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-sim-load.obj `if test -f 'common/sim-load.c'; then $(CYGPATH_W) 'common/sim-load.c'; else $(CYGPATH_W) '$(srcdir)/common/sim-load.c'; fi`
1653
1654 common/common_libcommon_a-syscall.o: common/syscall.c
1655 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-syscall.o -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-syscall.Tpo -c -o common/common_libcommon_a-syscall.o `test -f 'common/syscall.c' || echo '$(srcdir)/'`common/syscall.c
1656 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-syscall.Tpo common/$(DEPDIR)/common_libcommon_a-syscall.Po
1657 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/syscall.c' object='common/common_libcommon_a-syscall.o' libtool=no @AMDEPBACKSLASH@
1658 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1659 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-syscall.o `test -f 'common/syscall.c' || echo '$(srcdir)/'`common/syscall.c
1660
1661 common/common_libcommon_a-syscall.obj: common/syscall.c
1662 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-syscall.obj -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-syscall.Tpo -c -o common/common_libcommon_a-syscall.obj `if test -f 'common/syscall.c'; then $(CYGPATH_W) 'common/syscall.c'; else $(CYGPATH_W) '$(srcdir)/common/syscall.c'; fi`
1663 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-syscall.Tpo common/$(DEPDIR)/common_libcommon_a-syscall.Po
1664 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/syscall.c' object='common/common_libcommon_a-syscall.obj' libtool=no @AMDEPBACKSLASH@
1665 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1666 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-syscall.obj `if test -f 'common/syscall.c'; then $(CYGPATH_W) 'common/syscall.c'; else $(CYGPATH_W) '$(srcdir)/common/syscall.c'; fi`
1667
1668 common/common_libcommon_a-target-newlib-errno.o: common/target-newlib-errno.c
1669 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-target-newlib-errno.o -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-target-newlib-errno.Tpo -c -o common/common_libcommon_a-target-newlib-errno.o `test -f 'common/target-newlib-errno.c' || echo '$(srcdir)/'`common/target-newlib-errno.c
1670 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-target-newlib-errno.Tpo common/$(DEPDIR)/common_libcommon_a-target-newlib-errno.Po
1671 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/target-newlib-errno.c' object='common/common_libcommon_a-target-newlib-errno.o' libtool=no @AMDEPBACKSLASH@
1672 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1673 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-target-newlib-errno.o `test -f 'common/target-newlib-errno.c' || echo '$(srcdir)/'`common/target-newlib-errno.c
1674
1675 common/common_libcommon_a-target-newlib-errno.obj: common/target-newlib-errno.c
1676 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-target-newlib-errno.obj -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-target-newlib-errno.Tpo -c -o common/common_libcommon_a-target-newlib-errno.obj `if test -f 'common/target-newlib-errno.c'; then $(CYGPATH_W) 'common/target-newlib-errno.c'; else $(CYGPATH_W) '$(srcdir)/common/target-newlib-errno.c'; fi`
1677 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-target-newlib-errno.Tpo common/$(DEPDIR)/common_libcommon_a-target-newlib-errno.Po
1678 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/target-newlib-errno.c' object='common/common_libcommon_a-target-newlib-errno.obj' libtool=no @AMDEPBACKSLASH@
1679 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1680 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-target-newlib-errno.obj `if test -f 'common/target-newlib-errno.c'; then $(CYGPATH_W) 'common/target-newlib-errno.c'; else $(CYGPATH_W) '$(srcdir)/common/target-newlib-errno.c'; fi`
1681
1682 common/common_libcommon_a-target-newlib-open.o: common/target-newlib-open.c
1683 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-target-newlib-open.o -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-target-newlib-open.Tpo -c -o common/common_libcommon_a-target-newlib-open.o `test -f 'common/target-newlib-open.c' || echo '$(srcdir)/'`common/target-newlib-open.c
1684 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-target-newlib-open.Tpo common/$(DEPDIR)/common_libcommon_a-target-newlib-open.Po
1685 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/target-newlib-open.c' object='common/common_libcommon_a-target-newlib-open.o' libtool=no @AMDEPBACKSLASH@
1686 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1687 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-target-newlib-open.o `test -f 'common/target-newlib-open.c' || echo '$(srcdir)/'`common/target-newlib-open.c
1688
1689 common/common_libcommon_a-target-newlib-open.obj: common/target-newlib-open.c
1690 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-target-newlib-open.obj -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-target-newlib-open.Tpo -c -o common/common_libcommon_a-target-newlib-open.obj `if test -f 'common/target-newlib-open.c'; then $(CYGPATH_W) 'common/target-newlib-open.c'; else $(CYGPATH_W) '$(srcdir)/common/target-newlib-open.c'; fi`
1691 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-target-newlib-open.Tpo common/$(DEPDIR)/common_libcommon_a-target-newlib-open.Po
1692 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/target-newlib-open.c' object='common/common_libcommon_a-target-newlib-open.obj' libtool=no @AMDEPBACKSLASH@
1693 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1694 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-target-newlib-open.obj `if test -f 'common/target-newlib-open.c'; then $(CYGPATH_W) 'common/target-newlib-open.c'; else $(CYGPATH_W) '$(srcdir)/common/target-newlib-open.c'; fi`
1695
1696 common/common_libcommon_a-target-newlib-signal.o: common/target-newlib-signal.c
1697 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-target-newlib-signal.o -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-target-newlib-signal.Tpo -c -o common/common_libcommon_a-target-newlib-signal.o `test -f 'common/target-newlib-signal.c' || echo '$(srcdir)/'`common/target-newlib-signal.c
1698 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-target-newlib-signal.Tpo common/$(DEPDIR)/common_libcommon_a-target-newlib-signal.Po
1699 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/target-newlib-signal.c' object='common/common_libcommon_a-target-newlib-signal.o' libtool=no @AMDEPBACKSLASH@
1700 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1701 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-target-newlib-signal.o `test -f 'common/target-newlib-signal.c' || echo '$(srcdir)/'`common/target-newlib-signal.c
1702
1703 common/common_libcommon_a-target-newlib-signal.obj: common/target-newlib-signal.c
1704 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-target-newlib-signal.obj -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-target-newlib-signal.Tpo -c -o common/common_libcommon_a-target-newlib-signal.obj `if test -f 'common/target-newlib-signal.c'; then $(CYGPATH_W) 'common/target-newlib-signal.c'; else $(CYGPATH_W) '$(srcdir)/common/target-newlib-signal.c'; fi`
1705 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-target-newlib-signal.Tpo common/$(DEPDIR)/common_libcommon_a-target-newlib-signal.Po
1706 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/target-newlib-signal.c' object='common/common_libcommon_a-target-newlib-signal.obj' libtool=no @AMDEPBACKSLASH@
1707 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1708 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-target-newlib-signal.obj `if test -f 'common/target-newlib-signal.c'; then $(CYGPATH_W) 'common/target-newlib-signal.c'; else $(CYGPATH_W) '$(srcdir)/common/target-newlib-signal.c'; fi`
1709
1710 common/common_libcommon_a-target-newlib-syscall.o: common/target-newlib-syscall.c
1711 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-target-newlib-syscall.o -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-target-newlib-syscall.Tpo -c -o common/common_libcommon_a-target-newlib-syscall.o `test -f 'common/target-newlib-syscall.c' || echo '$(srcdir)/'`common/target-newlib-syscall.c
1712 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-target-newlib-syscall.Tpo common/$(DEPDIR)/common_libcommon_a-target-newlib-syscall.Po
1713 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/target-newlib-syscall.c' object='common/common_libcommon_a-target-newlib-syscall.o' libtool=no @AMDEPBACKSLASH@
1714 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1715 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-target-newlib-syscall.o `test -f 'common/target-newlib-syscall.c' || echo '$(srcdir)/'`common/target-newlib-syscall.c
1716
1717 common/common_libcommon_a-target-newlib-syscall.obj: common/target-newlib-syscall.c
1718 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-target-newlib-syscall.obj -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-target-newlib-syscall.Tpo -c -o common/common_libcommon_a-target-newlib-syscall.obj `if test -f 'common/target-newlib-syscall.c'; then $(CYGPATH_W) 'common/target-newlib-syscall.c'; else $(CYGPATH_W) '$(srcdir)/common/target-newlib-syscall.c'; fi`
1719 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-target-newlib-syscall.Tpo common/$(DEPDIR)/common_libcommon_a-target-newlib-syscall.Po
1720 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/target-newlib-syscall.c' object='common/common_libcommon_a-target-newlib-syscall.obj' libtool=no @AMDEPBACKSLASH@
1721 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1722 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-target-newlib-syscall.obj `if test -f 'common/target-newlib-syscall.c'; then $(CYGPATH_W) 'common/target-newlib-syscall.c'; else $(CYGPATH_W) '$(srcdir)/common/target-newlib-syscall.c'; fi`
1723
1724 common/common_libcommon_a-version.o: common/version.c
1725 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-version.o -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-version.Tpo -c -o common/common_libcommon_a-version.o `test -f 'common/version.c' || echo '$(srcdir)/'`common/version.c
1726 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-version.Tpo common/$(DEPDIR)/common_libcommon_a-version.Po
1727 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/version.c' object='common/common_libcommon_a-version.o' libtool=no @AMDEPBACKSLASH@
1728 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1729 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-version.o `test -f 'common/version.c' || echo '$(srcdir)/'`common/version.c
1730
1731 common/common_libcommon_a-version.obj: common/version.c
1732 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT common/common_libcommon_a-version.obj -MD -MP -MF common/$(DEPDIR)/common_libcommon_a-version.Tpo -c -o common/common_libcommon_a-version.obj `if test -f 'common/version.c'; then $(CYGPATH_W) 'common/version.c'; else $(CYGPATH_W) '$(srcdir)/common/version.c'; fi`
1733 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) common/$(DEPDIR)/common_libcommon_a-version.Tpo common/$(DEPDIR)/common_libcommon_a-version.Po
1734 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='common/version.c' object='common/common_libcommon_a-version.obj' libtool=no @AMDEPBACKSLASH@
1735 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
1736 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(common_libcommon_a_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o common/common_libcommon_a-version.obj `if test -f 'common/version.c'; then $(CYGPATH_W) 'common/version.c'; else $(CYGPATH_W) '$(srcdir)/common/version.c'; fi`
1737
1738 mostlyclean-libtool:
1739 -rm -f *.lo
1740
1741 clean-libtool:
1742 -rm -rf .libs _libs
1743 -rm -rf cr16/.libs cr16/_libs
1744 -rm -rf d10v/.libs d10v/_libs
1745 -rm -rf igen/.libs igen/_libs
1746 -rm -rf m32c/.libs m32c/_libs
1747 -rm -rf m68hc11/.libs m68hc11/_libs
1748 -rm -rf sh/.libs sh/_libs
1749 -rm -rf testsuite/common/.libs testsuite/common/_libs
1750
1751 distclean-libtool:
1752 -rm -f libtool config.lt
1753 install-armdocDATA: $(armdoc_DATA)
1754 @$(NORMAL_INSTALL)
1755 @list='$(armdoc_DATA)'; test -n "$(armdocdir)" || list=; \
1756 if test -n "$$list"; then \
1757 echo " $(MKDIR_P) '$(DESTDIR)$(armdocdir)'"; \
1758 $(MKDIR_P) "$(DESTDIR)$(armdocdir)" || exit 1; \
1759 fi; \
1760 for p in $$list; do \
1761 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
1762 echo "$$d$$p"; \
1763 done | $(am__base_list) | \
1764 while read files; do \
1765 echo " $(INSTALL_DATA) $$files '$(DESTDIR)$(armdocdir)'"; \
1766 $(INSTALL_DATA) $$files "$(DESTDIR)$(armdocdir)" || exit $$?; \
1767 done
1768
1769 uninstall-armdocDATA:
1770 @$(NORMAL_UNINSTALL)
1771 @list='$(armdoc_DATA)'; test -n "$(armdocdir)" || list=; \
1772 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
1773 dir='$(DESTDIR)$(armdocdir)'; $(am__uninstall_files_from_dir)
1774 install-dtbDATA: $(dtb_DATA)
1775 @$(NORMAL_INSTALL)
1776 @list='$(dtb_DATA)'; test -n "$(dtbdir)" || list=; \
1777 if test -n "$$list"; then \
1778 echo " $(MKDIR_P) '$(DESTDIR)$(dtbdir)'"; \
1779 $(MKDIR_P) "$(DESTDIR)$(dtbdir)" || exit 1; \
1780 fi; \
1781 for p in $$list; do \
1782 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
1783 echo "$$d$$p"; \
1784 done | $(am__base_list) | \
1785 while read files; do \
1786 echo " $(INSTALL_DATA) $$files '$(DESTDIR)$(dtbdir)'"; \
1787 $(INSTALL_DATA) $$files "$(DESTDIR)$(dtbdir)" || exit $$?; \
1788 done
1789
1790 uninstall-dtbDATA:
1791 @$(NORMAL_UNINSTALL)
1792 @list='$(dtb_DATA)'; test -n "$(dtbdir)" || list=; \
1793 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
1794 dir='$(DESTDIR)$(dtbdir)'; $(am__uninstall_files_from_dir)
1795 install-erc32docDATA: $(erc32doc_DATA)
1796 @$(NORMAL_INSTALL)
1797 @list='$(erc32doc_DATA)'; test -n "$(erc32docdir)" || list=; \
1798 if test -n "$$list"; then \
1799 echo " $(MKDIR_P) '$(DESTDIR)$(erc32docdir)'"; \
1800 $(MKDIR_P) "$(DESTDIR)$(erc32docdir)" || exit 1; \
1801 fi; \
1802 for p in $$list; do \
1803 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
1804 echo "$$d$$p"; \
1805 done | $(am__base_list) | \
1806 while read files; do \
1807 echo " $(INSTALL_DATA) $$files '$(DESTDIR)$(erc32docdir)'"; \
1808 $(INSTALL_DATA) $$files "$(DESTDIR)$(erc32docdir)" || exit $$?; \
1809 done
1810
1811 uninstall-erc32docDATA:
1812 @$(NORMAL_UNINSTALL)
1813 @list='$(erc32doc_DATA)'; test -n "$(erc32docdir)" || list=; \
1814 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
1815 dir='$(DESTDIR)$(erc32docdir)'; $(am__uninstall_files_from_dir)
1816 install-frvdocDATA: $(frvdoc_DATA)
1817 @$(NORMAL_INSTALL)
1818 @list='$(frvdoc_DATA)'; test -n "$(frvdocdir)" || list=; \
1819 if test -n "$$list"; then \
1820 echo " $(MKDIR_P) '$(DESTDIR)$(frvdocdir)'"; \
1821 $(MKDIR_P) "$(DESTDIR)$(frvdocdir)" || exit 1; \
1822 fi; \
1823 for p in $$list; do \
1824 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
1825 echo "$$d$$p"; \
1826 done | $(am__base_list) | \
1827 while read files; do \
1828 echo " $(INSTALL_DATA) $$files '$(DESTDIR)$(frvdocdir)'"; \
1829 $(INSTALL_DATA) $$files "$(DESTDIR)$(frvdocdir)" || exit $$?; \
1830 done
1831
1832 uninstall-frvdocDATA:
1833 @$(NORMAL_UNINSTALL)
1834 @list='$(frvdoc_DATA)'; test -n "$(frvdocdir)" || list=; \
1835 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
1836 dir='$(DESTDIR)$(frvdocdir)'; $(am__uninstall_files_from_dir)
1837 install-or1kdocDATA: $(or1kdoc_DATA)
1838 @$(NORMAL_INSTALL)
1839 @list='$(or1kdoc_DATA)'; test -n "$(or1kdocdir)" || list=; \
1840 if test -n "$$list"; then \
1841 echo " $(MKDIR_P) '$(DESTDIR)$(or1kdocdir)'"; \
1842 $(MKDIR_P) "$(DESTDIR)$(or1kdocdir)" || exit 1; \
1843 fi; \
1844 for p in $$list; do \
1845 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
1846 echo "$$d$$p"; \
1847 done | $(am__base_list) | \
1848 while read files; do \
1849 echo " $(INSTALL_DATA) $$files '$(DESTDIR)$(or1kdocdir)'"; \
1850 $(INSTALL_DATA) $$files "$(DESTDIR)$(or1kdocdir)" || exit $$?; \
1851 done
1852
1853 uninstall-or1kdocDATA:
1854 @$(NORMAL_UNINSTALL)
1855 @list='$(or1kdoc_DATA)'; test -n "$(or1kdocdir)" || list=; \
1856 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
1857 dir='$(DESTDIR)$(or1kdocdir)'; $(am__uninstall_files_from_dir)
1858 install-ppcdocDATA: $(ppcdoc_DATA)
1859 @$(NORMAL_INSTALL)
1860 @list='$(ppcdoc_DATA)'; test -n "$(ppcdocdir)" || list=; \
1861 if test -n "$$list"; then \
1862 echo " $(MKDIR_P) '$(DESTDIR)$(ppcdocdir)'"; \
1863 $(MKDIR_P) "$(DESTDIR)$(ppcdocdir)" || exit 1; \
1864 fi; \
1865 for p in $$list; do \
1866 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
1867 echo "$$d$$p"; \
1868 done | $(am__base_list) | \
1869 while read files; do \
1870 echo " $(INSTALL_DATA) $$files '$(DESTDIR)$(ppcdocdir)'"; \
1871 $(INSTALL_DATA) $$files "$(DESTDIR)$(ppcdocdir)" || exit $$?; \
1872 done
1873
1874 uninstall-ppcdocDATA:
1875 @$(NORMAL_UNINSTALL)
1876 @list='$(ppcdoc_DATA)'; test -n "$(ppcdocdir)" || list=; \
1877 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
1878 dir='$(DESTDIR)$(ppcdocdir)'; $(am__uninstall_files_from_dir)
1879 install-rxdocDATA: $(rxdoc_DATA)
1880 @$(NORMAL_INSTALL)
1881 @list='$(rxdoc_DATA)'; test -n "$(rxdocdir)" || list=; \
1882 if test -n "$$list"; then \
1883 echo " $(MKDIR_P) '$(DESTDIR)$(rxdocdir)'"; \
1884 $(MKDIR_P) "$(DESTDIR)$(rxdocdir)" || exit 1; \
1885 fi; \
1886 for p in $$list; do \
1887 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
1888 echo "$$d$$p"; \
1889 done | $(am__base_list) | \
1890 while read files; do \
1891 echo " $(INSTALL_DATA) $$files '$(DESTDIR)$(rxdocdir)'"; \
1892 $(INSTALL_DATA) $$files "$(DESTDIR)$(rxdocdir)" || exit $$?; \
1893 done
1894
1895 uninstall-rxdocDATA:
1896 @$(NORMAL_UNINSTALL)
1897 @list='$(rxdoc_DATA)'; test -n "$(rxdocdir)" || list=; \
1898 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
1899 dir='$(DESTDIR)$(rxdocdir)'; $(am__uninstall_files_from_dir)
1900 install-pkgincludeHEADERS: $(pkginclude_HEADERS)
1901 @$(NORMAL_INSTALL)
1902 @list='$(pkginclude_HEADERS)'; test -n "$(pkgincludedir)" || list=; \
1903 if test -n "$$list"; then \
1904 echo " $(MKDIR_P) '$(DESTDIR)$(pkgincludedir)'"; \
1905 $(MKDIR_P) "$(DESTDIR)$(pkgincludedir)" || exit 1; \
1906 fi; \
1907 for p in $$list; do \
1908 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
1909 echo "$$d$$p"; \
1910 done | $(am__base_list) | \
1911 while read files; do \
1912 echo " $(INSTALL_HEADER) $$files '$(DESTDIR)$(pkgincludedir)'"; \
1913 $(INSTALL_HEADER) $$files "$(DESTDIR)$(pkgincludedir)" || exit $$?; \
1914 done
1915
1916 uninstall-pkgincludeHEADERS:
1917 @$(NORMAL_UNINSTALL)
1918 @list='$(pkginclude_HEADERS)'; test -n "$(pkgincludedir)" || list=; \
1919 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
1920 dir='$(DESTDIR)$(pkgincludedir)'; $(am__uninstall_files_from_dir)
1921
1922 # This directory's subdirectories are mostly independent; you can cd
1923 # into them and run 'make' without going through this Makefile.
1924 # To change the values of 'make' variables: instead of editing Makefiles,
1925 # (1) if the variable is set in 'config.status', edit 'config.status'
1926 # (which will cause the Makefiles to be regenerated when you run 'make');
1927 # (2) otherwise, pass the desired values on the 'make' command line.
1928 $(am__recursive_targets):
1929 @fail=; \
1930 if $(am__make_keepgoing); then \
1931 failcom='fail=yes'; \
1932 else \
1933 failcom='exit 1'; \
1934 fi; \
1935 dot_seen=no; \
1936 target=`echo $@ | sed s/-recursive//`; \
1937 case "$@" in \
1938 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
1939 *) list='$(SUBDIRS)' ;; \
1940 esac; \
1941 for subdir in $$list; do \
1942 echo "Making $$target in $$subdir"; \
1943 if test "$$subdir" = "."; then \
1944 dot_seen=yes; \
1945 local_target="$$target-am"; \
1946 else \
1947 local_target="$$target"; \
1948 fi; \
1949 ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
1950 || eval $$failcom; \
1951 done; \
1952 if test "$$dot_seen" = "no"; then \
1953 $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
1954 fi; test -z "$$fail"
1955
1956 ID: $(am__tagged_files)
1957 $(am__define_uniq_tagged_files); mkid -fID $$unique
1958 tags: tags-recursive
1959 TAGS: tags
1960
1961 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
1962 set x; \
1963 here=`pwd`; \
1964 if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
1965 include_option=--etags-include; \
1966 empty_fix=.; \
1967 else \
1968 include_option=--include; \
1969 empty_fix=; \
1970 fi; \
1971 list='$(SUBDIRS)'; for subdir in $$list; do \
1972 if test "$$subdir" = .; then :; else \
1973 test ! -f $$subdir/TAGS || \
1974 set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
1975 fi; \
1976 done; \
1977 $(am__define_uniq_tagged_files); \
1978 shift; \
1979 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
1980 test -n "$$unique" || unique=$$empty_fix; \
1981 if test $$# -gt 0; then \
1982 $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
1983 "$$@" $$unique; \
1984 else \
1985 $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
1986 $$unique; \
1987 fi; \
1988 fi
1989 ctags: ctags-recursive
1990
1991 CTAGS: ctags
1992 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
1993 $(am__define_uniq_tagged_files); \
1994 test -z "$(CTAGS_ARGS)$$unique" \
1995 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
1996 $$unique
1997
1998 GTAGS:
1999 here=`$(am__cd) $(top_builddir) && pwd` \
2000 && $(am__cd) $(top_srcdir) \
2001 && gtags -i $(GTAGS_ARGS) "$$here"
2002 cscope: cscope.files
2003 test ! -s cscope.files \
2004 || $(CSCOPE) -b -q $(AM_CSCOPEFLAGS) $(CSCOPEFLAGS) -i cscope.files $(CSCOPE_ARGS)
2005 clean-cscope:
2006 -rm -f cscope.files
2007 cscope.files: clean-cscope cscopelist
2008 cscopelist: cscopelist-recursive
2009
2010 cscopelist-am: $(am__tagged_files)
2011 list='$(am__tagged_files)'; \
2012 case "$(srcdir)" in \
2013 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
2014 *) sdir=$(subdir)/$(srcdir) ;; \
2015 esac; \
2016 for i in $$list; do \
2017 if test -f "$$i"; then \
2018 echo "$(subdir)/$$i"; \
2019 else \
2020 echo "$$sdir/$$i"; \
2021 fi; \
2022 done >> $(top_builddir)/cscope.files
2023
2024 distclean-tags:
2025 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
2026 -rm -f cscope.out cscope.in.out cscope.po.out cscope.files
2027 site.exp: Makefile $(EXTRA_DEJAGNU_SITE_CONFIG)
2028 @echo 'Making a new site.exp file ...'
2029 @echo '## these variables are automatically generated by make ##' >site.tmp
2030 @echo '# Do not edit here. If you wish to override these values' >>site.tmp
2031 @echo '# edit the last section' >>site.tmp
2032 @echo 'set srcdir "$(srcdir)"' >>site.tmp
2033 @echo "set objdir `pwd`" >>site.tmp
2034 @echo 'set build_alias "$(build_alias)"' >>site.tmp
2035 @echo 'set build_triplet $(build_triplet)' >>site.tmp
2036 @echo 'set host_alias "$(host_alias)"' >>site.tmp
2037 @echo 'set host_triplet $(host_triplet)' >>site.tmp
2038 @echo 'set target_alias "$(target_alias)"' >>site.tmp
2039 @echo 'set target_triplet $(target_triplet)' >>site.tmp
2040 @list='$(EXTRA_DEJAGNU_SITE_CONFIG)'; for f in $$list; do \
2041 echo "## Begin content included from file $$f. Do not modify. ##" \
2042 && cat `test -f "$$f" || echo '$(srcdir)/'`$$f \
2043 && echo "## End content included from file $$f. ##" \
2044 || exit 1; \
2045 done >> site.tmp
2046 @echo "## End of auto-generated content; you can edit from here. ##" >> site.tmp
2047 @if test -f site.exp; then \
2048 sed -e '1,/^## End of auto-generated content.*##/d' site.exp >> site.tmp; \
2049 fi
2050 @-rm -f site.bak
2051 @test ! -f site.exp || mv site.exp site.bak
2052 @mv site.tmp site.exp
2053
2054 distclean-DEJAGNU:
2055 -rm -f site.exp site.bak
2056 -l='$(DEJATOOL)'; for tool in $$l; do \
2057 rm -f $$tool.sum $$tool.log; \
2058 done
2059
2060 # Recover from deleted '.trs' file; this should ensure that
2061 # "rm -f foo.log; make foo.trs" re-run 'foo.test', and re-create
2062 # both 'foo.log' and 'foo.trs'. Break the recipe in two subshells
2063 # to avoid problems with "make -n".
2064 .log.trs:
2065 rm -f $< $@
2066 $(MAKE) $(AM_MAKEFLAGS) $<
2067
2068 # Leading 'am--fnord' is there to ensure the list of targets does not
2069 # expand to empty, as could happen e.g. with make check TESTS=''.
2070 am--fnord $(TEST_LOGS) $(TEST_LOGS:.log=.trs): $(am__force_recheck)
2071 am--force-recheck:
2072 @:
2073
2074 $(TEST_SUITE_LOG): $(TEST_LOGS)
2075 @$(am__set_TESTS_bases); \
2076 am__f_ok () { test -f "$$1" && test -r "$$1"; }; \
2077 redo_bases=`for i in $$bases; do \
2078 am__f_ok $$i.trs && am__f_ok $$i.log || echo $$i; \
2079 done`; \
2080 if test -n "$$redo_bases"; then \
2081 redo_logs=`for i in $$redo_bases; do echo $$i.log; done`; \
2082 redo_results=`for i in $$redo_bases; do echo $$i.trs; done`; \
2083 if $(am__make_dryrun); then :; else \
2084 rm -f $$redo_logs && rm -f $$redo_results || exit 1; \
2085 fi; \
2086 fi; \
2087 if test -n "$$am__remaking_logs"; then \
2088 echo "fatal: making $(TEST_SUITE_LOG): possible infinite" \
2089 "recursion detected" >&2; \
2090 elif test -n "$$redo_logs"; then \
2091 am__remaking_logs=yes $(MAKE) $(AM_MAKEFLAGS) $$redo_logs; \
2092 fi; \
2093 if $(am__make_dryrun); then :; else \
2094 st=0; \
2095 errmsg="fatal: making $(TEST_SUITE_LOG): failed to create"; \
2096 for i in $$redo_bases; do \
2097 test -f $$i.trs && test -r $$i.trs \
2098 || { echo "$$errmsg $$i.trs" >&2; st=1; }; \
2099 test -f $$i.log && test -r $$i.log \
2100 || { echo "$$errmsg $$i.log" >&2; st=1; }; \
2101 done; \
2102 test $$st -eq 0 || exit 1; \
2103 fi
2104 @$(am__sh_e_setup); $(am__tty_colors); $(am__set_TESTS_bases); \
2105 ws='[ ]'; \
2106 results=`for b in $$bases; do echo $$b.trs; done`; \
2107 test -n "$$results" || results=/dev/null; \
2108 all=` grep "^$$ws*:test-result:" $$results | wc -l`; \
2109 pass=` grep "^$$ws*:test-result:$$ws*PASS" $$results | wc -l`; \
2110 fail=` grep "^$$ws*:test-result:$$ws*FAIL" $$results | wc -l`; \
2111 skip=` grep "^$$ws*:test-result:$$ws*SKIP" $$results | wc -l`; \
2112 xfail=`grep "^$$ws*:test-result:$$ws*XFAIL" $$results | wc -l`; \
2113 xpass=`grep "^$$ws*:test-result:$$ws*XPASS" $$results | wc -l`; \
2114 error=`grep "^$$ws*:test-result:$$ws*ERROR" $$results | wc -l`; \
2115 if test `expr $$fail + $$xpass + $$error` -eq 0; then \
2116 success=true; \
2117 else \
2118 success=false; \
2119 fi; \
2120 br='==================='; br=$$br$$br$$br$$br; \
2121 result_count () \
2122 { \
2123 if test x"$$1" = x"--maybe-color"; then \
2124 maybe_colorize=yes; \
2125 elif test x"$$1" = x"--no-color"; then \
2126 maybe_colorize=no; \
2127 else \
2128 echo "$@: invalid 'result_count' usage" >&2; exit 4; \
2129 fi; \
2130 shift; \
2131 desc=$$1 count=$$2; \
2132 if test $$maybe_colorize = yes && test $$count -gt 0; then \
2133 color_start=$$3 color_end=$$std; \
2134 else \
2135 color_start= color_end=; \
2136 fi; \
2137 echo "$${color_start}# $$desc $$count$${color_end}"; \
2138 }; \
2139 create_testsuite_report () \
2140 { \
2141 result_count $$1 "TOTAL:" $$all "$$brg"; \
2142 result_count $$1 "PASS: " $$pass "$$grn"; \
2143 result_count $$1 "SKIP: " $$skip "$$blu"; \
2144 result_count $$1 "XFAIL:" $$xfail "$$lgn"; \
2145 result_count $$1 "FAIL: " $$fail "$$red"; \
2146 result_count $$1 "XPASS:" $$xpass "$$red"; \
2147 result_count $$1 "ERROR:" $$error "$$mgn"; \
2148 }; \
2149 { \
2150 echo "$(PACKAGE_STRING): $(subdir)/$(TEST_SUITE_LOG)" | \
2151 $(am__rst_title); \
2152 create_testsuite_report --no-color; \
2153 echo; \
2154 echo ".. contents:: :depth: 2"; \
2155 echo; \
2156 for b in $$bases; do echo $$b; done \
2157 | $(am__create_global_log); \
2158 } >$(TEST_SUITE_LOG).tmp || exit 1; \
2159 mv $(TEST_SUITE_LOG).tmp $(TEST_SUITE_LOG); \
2160 if $$success; then \
2161 col="$$grn"; \
2162 else \
2163 col="$$red"; \
2164 test x"$$VERBOSE" = x || cat $(TEST_SUITE_LOG); \
2165 fi; \
2166 echo "$${col}$$br$${std}"; \
2167 echo "$${col}Testsuite summary for $(PACKAGE_STRING)$${std}"; \
2168 echo "$${col}$$br$${std}"; \
2169 create_testsuite_report --maybe-color; \
2170 echo "$$col$$br$$std"; \
2171 if $$success; then :; else \
2172 echo "$${col}See $(subdir)/$(TEST_SUITE_LOG)$${std}"; \
2173 if test -n "$(PACKAGE_BUGREPORT)"; then \
2174 echo "$${col}Please report to $(PACKAGE_BUGREPORT)$${std}"; \
2175 fi; \
2176 echo "$$col$$br$$std"; \
2177 fi; \
2178 $$success || exit 1
2179
2180 check-TESTS:
2181 @list='$(RECHECK_LOGS)'; test -z "$$list" || rm -f $$list
2182 @list='$(RECHECK_LOGS:.log=.trs)'; test -z "$$list" || rm -f $$list
2183 @test -z "$(TEST_SUITE_LOG)" || rm -f $(TEST_SUITE_LOG)
2184 @set +e; $(am__set_TESTS_bases); \
2185 log_list=`for i in $$bases; do echo $$i.log; done`; \
2186 trs_list=`for i in $$bases; do echo $$i.trs; done`; \
2187 log_list=`echo $$log_list`; trs_list=`echo $$trs_list`; \
2188 $(MAKE) $(AM_MAKEFLAGS) $(TEST_SUITE_LOG) TEST_LOGS="$$log_list"; \
2189 exit $$?;
2190 recheck: all $(check_PROGRAMS)
2191 @test -z "$(TEST_SUITE_LOG)" || rm -f $(TEST_SUITE_LOG)
2192 @set +e; $(am__set_TESTS_bases); \
2193 bases=`for i in $$bases; do echo $$i; done \
2194 | $(am__list_recheck_tests)` || exit 1; \
2195 log_list=`for i in $$bases; do echo $$i.log; done`; \
2196 log_list=`echo $$log_list`; \
2197 $(MAKE) $(AM_MAKEFLAGS) $(TEST_SUITE_LOG) \
2198 am__force_recheck=am--force-recheck \
2199 TEST_LOGS="$$log_list"; \
2200 exit $$?
2201 testsuite/common/bits32m0.log: testsuite/common/bits32m0$(EXEEXT)
2202 @p='testsuite/common/bits32m0$(EXEEXT)'; \
2203 b='testsuite/common/bits32m0'; \
2204 $(am__check_pre) $(LOG_DRIVER) --test-name "$$f" \
2205 --log-file $$b.log --trs-file $$b.trs \
2206 $(am__common_driver_flags) $(AM_LOG_DRIVER_FLAGS) $(LOG_DRIVER_FLAGS) -- $(LOG_COMPILE) \
2207 "$$tst" $(AM_TESTS_FD_REDIRECT)
2208 testsuite/common/bits32m31.log: testsuite/common/bits32m31$(EXEEXT)
2209 @p='testsuite/common/bits32m31$(EXEEXT)'; \
2210 b='testsuite/common/bits32m31'; \
2211 $(am__check_pre) $(LOG_DRIVER) --test-name "$$f" \
2212 --log-file $$b.log --trs-file $$b.trs \
2213 $(am__common_driver_flags) $(AM_LOG_DRIVER_FLAGS) $(LOG_DRIVER_FLAGS) -- $(LOG_COMPILE) \
2214 "$$tst" $(AM_TESTS_FD_REDIRECT)
2215 testsuite/common/bits64m0.log: testsuite/common/bits64m0$(EXEEXT)
2216 @p='testsuite/common/bits64m0$(EXEEXT)'; \
2217 b='testsuite/common/bits64m0'; \
2218 $(am__check_pre) $(LOG_DRIVER) --test-name "$$f" \
2219 --log-file $$b.log --trs-file $$b.trs \
2220 $(am__common_driver_flags) $(AM_LOG_DRIVER_FLAGS) $(LOG_DRIVER_FLAGS) -- $(LOG_COMPILE) \
2221 "$$tst" $(AM_TESTS_FD_REDIRECT)
2222 testsuite/common/bits64m63.log: testsuite/common/bits64m63$(EXEEXT)
2223 @p='testsuite/common/bits64m63$(EXEEXT)'; \
2224 b='testsuite/common/bits64m63'; \
2225 $(am__check_pre) $(LOG_DRIVER) --test-name "$$f" \
2226 --log-file $$b.log --trs-file $$b.trs \
2227 $(am__common_driver_flags) $(AM_LOG_DRIVER_FLAGS) $(LOG_DRIVER_FLAGS) -- $(LOG_COMPILE) \
2228 "$$tst" $(AM_TESTS_FD_REDIRECT)
2229 testsuite/common/alu-tst.log: testsuite/common/alu-tst$(EXEEXT)
2230 @p='testsuite/common/alu-tst$(EXEEXT)'; \
2231 b='testsuite/common/alu-tst'; \
2232 $(am__check_pre) $(LOG_DRIVER) --test-name "$$f" \
2233 --log-file $$b.log --trs-file $$b.trs \
2234 $(am__common_driver_flags) $(AM_LOG_DRIVER_FLAGS) $(LOG_DRIVER_FLAGS) -- $(LOG_COMPILE) \
2235 "$$tst" $(AM_TESTS_FD_REDIRECT)
2236 .test.log:
2237 @p='$<'; \
2238 $(am__set_b); \
2239 $(am__check_pre) $(TEST_LOG_DRIVER) --test-name "$$f" \
2240 --log-file $$b.log --trs-file $$b.trs \
2241 $(am__common_driver_flags) $(AM_TEST_LOG_DRIVER_FLAGS) $(TEST_LOG_DRIVER_FLAGS) -- $(TEST_LOG_COMPILE) \
2242 "$$tst" $(AM_TESTS_FD_REDIRECT)
2243 @am__EXEEXT_TRUE@.test$(EXEEXT).log:
2244 @am__EXEEXT_TRUE@ @p='$<'; \
2245 @am__EXEEXT_TRUE@ $(am__set_b); \
2246 @am__EXEEXT_TRUE@ $(am__check_pre) $(TEST_LOG_DRIVER) --test-name "$$f" \
2247 @am__EXEEXT_TRUE@ --log-file $$b.log --trs-file $$b.trs \
2248 @am__EXEEXT_TRUE@ $(am__common_driver_flags) $(AM_TEST_LOG_DRIVER_FLAGS) $(TEST_LOG_DRIVER_FLAGS) -- $(TEST_LOG_COMPILE) \
2249 @am__EXEEXT_TRUE@ "$$tst" $(AM_TESTS_FD_REDIRECT)
2250 check-am: all-am
2251 $(MAKE) $(AM_MAKEFLAGS) $(check_PROGRAMS)
2252 $(MAKE) $(AM_MAKEFLAGS) check-DEJAGNU check-TESTS
2253 check: check-recursive
2254 all-am: Makefile $(LIBRARIES) $(DATA) $(HEADERS) config.h
2255 installdirs: installdirs-recursive
2256 installdirs-am:
2257 for dir in "$(DESTDIR)$(armdocdir)" "$(DESTDIR)$(dtbdir)" "$(DESTDIR)$(erc32docdir)" "$(DESTDIR)$(frvdocdir)" "$(DESTDIR)$(or1kdocdir)" "$(DESTDIR)$(ppcdocdir)" "$(DESTDIR)$(rxdocdir)" "$(DESTDIR)$(pkgincludedir)"; do \
2258 test -z "$$dir" || $(MKDIR_P) "$$dir"; \
2259 done
2260 install: install-recursive
2261 install-exec: install-exec-recursive
2262 install-data: install-data-recursive
2263 uninstall: uninstall-recursive
2264
2265 install-am: all-am
2266 @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
2267
2268 installcheck: installcheck-recursive
2269 install-strip:
2270 if test -z '$(STRIP)'; then \
2271 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
2272 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
2273 install; \
2274 else \
2275 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
2276 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
2277 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
2278 fi
2279 mostlyclean-generic:
2280 -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
2281 -test -z "$(TEST_LOGS)" || rm -f $(TEST_LOGS)
2282 -test -z "$(TEST_LOGS:.log=.trs)" || rm -f $(TEST_LOGS:.log=.trs)
2283 -test -z "$(TEST_SUITE_LOG)" || rm -f $(TEST_SUITE_LOG)
2284
2285 clean-generic:
2286 -test -z "$(CLEANFILES)" || rm -f $(CLEANFILES)
2287
2288 distclean-generic:
2289 -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
2290 -test . = "$(srcdir)" || test -z "$(CONFIG_CLEAN_VPATH_FILES)" || rm -f $(CONFIG_CLEAN_VPATH_FILES)
2291 -rm -f common/$(DEPDIR)/$(am__dirstamp)
2292 -rm -f common/$(am__dirstamp)
2293 -rm -f cr16/$(DEPDIR)/$(am__dirstamp)
2294 -rm -f cr16/$(am__dirstamp)
2295 -rm -f d10v/$(DEPDIR)/$(am__dirstamp)
2296 -rm -f d10v/$(am__dirstamp)
2297 -rm -f igen/$(DEPDIR)/$(am__dirstamp)
2298 -rm -f igen/$(am__dirstamp)
2299 -rm -f m32c/$(DEPDIR)/$(am__dirstamp)
2300 -rm -f m32c/$(am__dirstamp)
2301 -rm -f m68hc11/$(DEPDIR)/$(am__dirstamp)
2302 -rm -f m68hc11/$(am__dirstamp)
2303 -rm -f sh/$(DEPDIR)/$(am__dirstamp)
2304 -rm -f sh/$(am__dirstamp)
2305 -rm -f testsuite/common/$(DEPDIR)/$(am__dirstamp)
2306 -rm -f testsuite/common/$(am__dirstamp)
2307 -test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
2308
2309 maintainer-clean-generic:
2310 @echo "This command is intended for maintainers to use"
2311 @echo "it deletes files that may require special tools to rebuild."
2312 clean: clean-recursive
2313
2314 clean-am: clean-checkPROGRAMS clean-generic clean-libtool \
2315 clean-noinstLIBRARIES mostlyclean-am
2316
2317 distclean: distclean-recursive
2318 -rm -f $(am__CONFIG_DISTCLEAN_FILES)
2319 -rm -rf common/$(DEPDIR) cr16/$(DEPDIR) d10v/$(DEPDIR) igen/$(DEPDIR) m32c/$(DEPDIR) m68hc11/$(DEPDIR) sh/$(DEPDIR) testsuite/common/$(DEPDIR)
2320 -rm -f Makefile
2321 distclean-am: clean-am distclean-DEJAGNU distclean-compile \
2322 distclean-generic distclean-hdr distclean-libtool \
2323 distclean-tags
2324
2325 dvi: dvi-recursive
2326
2327 dvi-am:
2328
2329 html: html-recursive
2330
2331 html-am:
2332
2333 info: info-recursive
2334
2335 info-am:
2336
2337 install-data-am: install-armdocDATA install-dtbDATA \
2338 install-erc32docDATA install-frvdocDATA install-or1kdocDATA \
2339 install-pkgincludeHEADERS install-ppcdocDATA install-rxdocDATA
2340
2341 install-dvi: install-dvi-recursive
2342
2343 install-dvi-am:
2344
2345 install-exec-am:
2346
2347 install-html: install-html-recursive
2348
2349 install-html-am:
2350
2351 install-info: install-info-recursive
2352
2353 install-info-am:
2354
2355 install-man:
2356
2357 install-pdf: install-pdf-recursive
2358
2359 install-pdf-am:
2360
2361 install-ps: install-ps-recursive
2362
2363 install-ps-am:
2364
2365 installcheck-am:
2366
2367 maintainer-clean: maintainer-clean-recursive
2368 -rm -f $(am__CONFIG_DISTCLEAN_FILES)
2369 -rm -rf $(top_srcdir)/autom4te.cache
2370 -rm -rf common/$(DEPDIR) cr16/$(DEPDIR) d10v/$(DEPDIR) igen/$(DEPDIR) m32c/$(DEPDIR) m68hc11/$(DEPDIR) sh/$(DEPDIR) testsuite/common/$(DEPDIR)
2371 -rm -f Makefile
2372 maintainer-clean-am: distclean-am maintainer-clean-generic
2373
2374 mostlyclean: mostlyclean-recursive
2375
2376 mostlyclean-am: mostlyclean-compile mostlyclean-generic \
2377 mostlyclean-libtool
2378
2379 pdf: pdf-recursive
2380
2381 pdf-am:
2382
2383 ps: ps-recursive
2384
2385 ps-am:
2386
2387 uninstall-am: uninstall-armdocDATA uninstall-dtbDATA \
2388 uninstall-erc32docDATA uninstall-frvdocDATA \
2389 uninstall-or1kdocDATA uninstall-pkgincludeHEADERS \
2390 uninstall-ppcdocDATA uninstall-rxdocDATA
2391
2392 .MAKE: $(am__recursive_targets) all check-am install-am install-strip
2393
2394 .PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am \
2395 am--refresh check check-DEJAGNU check-TESTS check-am clean \
2396 clean-checkPROGRAMS clean-cscope clean-generic clean-libtool \
2397 clean-noinstLIBRARIES cscope cscopelist-am ctags ctags-am \
2398 distclean distclean-DEJAGNU distclean-compile \
2399 distclean-generic distclean-hdr distclean-libtool \
2400 distclean-tags dvi dvi-am html html-am info info-am install \
2401 install-am install-armdocDATA install-data install-data-am \
2402 install-dtbDATA install-dvi install-dvi-am \
2403 install-erc32docDATA install-exec install-exec-am \
2404 install-frvdocDATA install-html install-html-am install-info \
2405 install-info-am install-man install-or1kdocDATA install-pdf \
2406 install-pdf-am install-pkgincludeHEADERS install-ppcdocDATA \
2407 install-ps install-ps-am install-rxdocDATA install-strip \
2408 installcheck installcheck-am installdirs installdirs-am \
2409 maintainer-clean maintainer-clean-generic mostlyclean \
2410 mostlyclean-compile mostlyclean-generic mostlyclean-libtool \
2411 pdf pdf-am ps ps-am recheck tags tags-am uninstall \
2412 uninstall-am uninstall-armdocDATA uninstall-dtbDATA \
2413 uninstall-erc32docDATA uninstall-frvdocDATA \
2414 uninstall-or1kdocDATA uninstall-pkgincludeHEADERS \
2415 uninstall-ppcdocDATA uninstall-rxdocDATA
2416
2417 .PRECIOUS: Makefile
2418
2419
2420 # Generate target constants for newlib/libgloss from its source tree.
2421 # This file is shipped with distributions so we build in the source dir.
2422 # Use `make nltvals' to rebuild.
2423 .PHONY: nltvals
2424 nltvals:
2425 $(srccom)/gennltvals.py --cpp "$(CPP)"
2426
2427 common/version.c: common/version.c-stamp ; @true
2428 common/version.c-stamp: $(srcroot)/gdb/version.in $(srcroot)/bfd/version.h $(srcdir)/common/create-version.sh
2429 $(AM_V_GEN)$(SHELL) $(srcdir)/common/create-version.sh $(srcroot)/gdb $@.tmp
2430 $(AM_V_at)$(SHELL) $(srcroot)/move-if-change $@.tmp $(@:-stamp=)
2431 $(AM_V_at)touch $@
2432
2433 # Alias for developers.
2434 @SIM_ENABLE_IGEN_TRUE@igen: $(IGEN)
2435
2436 # These rules are copied from automake, but tweaked to use FOR_BUILD variables.
2437 @SIM_ENABLE_IGEN_TRUE@igen/libigen.a: $(igen_libigen_a_OBJECTS) $(igen_libigen_a_DEPENDENCIES) $(EXTRA_igen_libigen_a_DEPENDENCIES) igen/$(am__dirstamp)
2438 @SIM_ENABLE_IGEN_TRUE@ $(AM_V_at)-rm -f $@
2439 @SIM_ENABLE_IGEN_TRUE@ $(AM_V_AR)$(AR_FOR_BUILD) $(ARFLAGS) $@ $(igen_libigen_a_OBJECTS) $(igen_libigen_a_LIBADD)
2440 @SIM_ENABLE_IGEN_TRUE@ $(AM_V_at)$(RANLIB_FOR_BUILD) $@
2441
2442 @SIM_ENABLE_IGEN_TRUE@igen/igen$(EXEEXT): $(igen_igen_OBJECTS) $(igen_igen_DEPENDENCIES) igen/$(am__dirstamp)
2443 @SIM_ENABLE_IGEN_TRUE@ $(AM_V_CCLD)$(LINK_FOR_BUILD) $(igen_igen_OBJECTS) $(igen_igen_LDADD)
2444
2445 # igen is a build-time only tool. Override the default rules for it.
2446 @SIM_ENABLE_IGEN_TRUE@igen/%.o: igen/%.c
2447 @SIM_ENABLE_IGEN_TRUE@ $(AM_V_CC)$(COMPILE_FOR_BUILD) -c $< -o $@
2448
2449 # Build some of the files in standalone mode for developers of igen itself.
2450 @SIM_ENABLE_IGEN_TRUE@igen/%-main.o: igen/%.c
2451 @SIM_ENABLE_IGEN_TRUE@ $(AM_V_CC)$(COMPILE_FOR_BUILD) -DMAIN -c $< -o $@
2452
2453 site-sim-config.exp: Makefile
2454 $(AM_V_GEN)( \
2455 echo "set SIM_PRIMARY_TARGET \"$(SIM_PRIMARY_TARGET)\""; \
2456 echo "set builddir \"$(builddir)\""; \
2457 echo "set srcdir \"$(srcdir)/testsuite\""; \
2458 $(foreach V,$(SIM_TOOLCHAIN_VARS),echo "set $(V) \"$($(V))\"";) \
2459 ) > $@
2460
2461 # Ignore dirs that only contain configuration settings.
2462 check/./config/%.exp: ; @true
2463 check/./lib/%.exp: ; @true
2464
2465 check/%.exp:
2466 $(AM_V_at)mkdir -p testsuite/$*
2467 $(AM_V_RUNTEST)$(DO_RUNTEST) --objdir testsuite/$* --outdir testsuite/$* $*.exp
2468
2469 check-DEJAGNU-parallel:
2470 $(AM_V_at)( \
2471 $(MAKE) -k \
2472 `cd $(srcdir)/testsuite && find . -name '*.exp' -printf 'check/%p '`; \
2473 ret=$$?; \
2474 $(SHELL) $(srcroot)/contrib/dg-extract-results.sh \
2475 `find testsuite/ -maxdepth 4 -name testrun.sum | sort` > testrun.sum; \
2476 $(SHELL) $(srcroot)/contrib/dg-extract-results.sh -L \
2477 `find testsuite/ -maxdepth 4 -name testrun.log | sort` > testrun.log; \
2478 echo; \
2479 $(SED) -n '/^.*===.*Summary.*===/,$$p' testrun.sum; \
2480 exit $$ret)
2481
2482 check-DEJAGNU-single:
2483 $(AM_V_RUNTEST)$(DO_RUNTEST)
2484
2485 # If running a single job, invoking runtest once is faster & has nicer output.
2486 check-DEJAGNU: site.exp
2487 $(AM_V_at)(set -e; \
2488 EXPECT=${EXPECT} ; export EXPECT ; \
2489 runtest=$(RUNTEST); \
2490 if $(SHELL) -c "$$runtest --version" > /dev/null 2>&1; then \
2491 case "$(MAKEFLAGS)" in \
2492 *-j*) $(MAKE) check-DEJAGNU-parallel;; \
2493 *) $(MAKE) check-DEJAGNU-single;; \
2494 esac; \
2495 else \
2496 echo "WARNING: could not find \`runtest'" 1>&2; :;\
2497 fi)
2498
2499 # These tests are build-time only tools. Override the default rules for them.
2500 testsuite/common/%.o: testsuite/common/%.c
2501 $(AM_V_CC)$(COMPILE_FOR_BUILD) $(testsuite_common_CPPFLAGS) -c $< -o $@
2502
2503 testsuite/common/alu-tst$(EXEEXT): $(testsuite_common_alu_tst_OBJECTS) $(testsuite_common_alu_tst_DEPENDENCIES) testsuite/common/$(am__dirstamp)
2504 $(AM_V_CCLD)$(LINK_FOR_BUILD) $(testsuite_common_alu_tst_OBJECTS) $(testsuite_common_alu_tst_LDADD)
2505
2506 testsuite/common/fpu-tst$(EXEEXT): $(testsuite_common_fpu_tst_OBJECTS) $(testsuite_common_fpu_tst_DEPENDENCIES) testsuite/common/$(am__dirstamp)
2507 $(AM_V_CCLD)$(LINK_FOR_BUILD) $(testsuite_common_fpu_tst_OBJECTS) $(testsuite_common_fpu_tst_LDADD)
2508
2509 testsuite/common/bits-gen$(EXEEXT): $(testsuite_common_bits_gen_OBJECTS) $(testsuite_common_bits_gen_DEPENDENCIES) testsuite/common/$(am__dirstamp)
2510 $(AM_V_CCLD)$(LINK_FOR_BUILD) $(testsuite_common_bits_gen_OBJECTS) $(testsuite_common_bits_gen_LDADD)
2511
2512 testsuite/common/bits32m0$(EXEEXT): $(testsuite_common_bits32m0_OBJECTS) $(testsuite_common_bits32m0_DEPENDENCIES) testsuite/common/$(am__dirstamp)
2513 $(AM_V_CCLD)$(LINK_FOR_BUILD) $(testsuite_common_bits32m0_OBJECTS) $(testsuite_common_bits32m0_LDADD)
2514
2515 testsuite/common/bits32m0.c: testsuite/common/bits-gen$(EXEEXT) testsuite/common/bits-tst.c
2516 $(AM_V_GEN)$< 32 0 big > $@.tmp
2517 $(AM_V_at)cat $(srcdir)/testsuite/common/bits-tst.c >> $@.tmp
2518 $(AM_V_at)mv $@.tmp $@
2519
2520 testsuite/common/bits32m31$(EXEEXT): $(testsuite_common_bits32m31_OBJECTS) $(testsuite_common_bits32m31_DEPENDENCIES) testsuite/common/$(am__dirstamp)
2521 $(AM_V_CCLD)$(LINK_FOR_BUILD) $(testsuite_common_bits32m31_OBJECTS) $(testsuite_common_bits32m31_LDADD)
2522
2523 testsuite/common/bits32m31.c: testsuite/common/bits-gen$(EXEEXT) testsuite/common/bits-tst.c
2524 $(AM_V_GEN)$< 32 31 little > $@.tmp
2525 $(AM_V_at)cat $(srcdir)/testsuite/common/bits-tst.c >> $@.tmp
2526 $(AM_V_at)mv $@.tmp $@
2527
2528 testsuite/common/bits64m0$(EXEEXT): $(testsuite_common_bits64m0_OBJECTS) $(testsuite_common_bits64m0_DEPENDENCIES) testsuite/common/$(am__dirstamp)
2529 $(AM_V_CCLD)$(LINK_FOR_BUILD) $(testsuite_common_bits64m0_OBJECTS) $(testsuite_common_bits64m0_LDADD)
2530
2531 testsuite/common/bits64m0.c: testsuite/common/bits-gen$(EXEEXT) testsuite/common/bits-tst.c
2532 $(AM_V_GEN)$< 64 0 big > $@.tmp
2533 $(AM_V_at)cat $(srcdir)/testsuite/common/bits-tst.c >> $@.tmp
2534 $(AM_V_at)mv $@.tmp $@
2535
2536 testsuite/common/bits64m63$(EXEEXT): $(testsuite_common_bits64m63_OBJECTS) $(testsuite_common_bits64m63_DEPENDENCIES) testsuite/common/$(am__dirstamp)
2537 $(AM_V_CCLD)$(LINK_FOR_BUILD) $(testsuite_common_bits64m63_OBJECTS) $(testsuite_common_bits64m63_LDADD)
2538
2539 testsuite/common/bits64m63.c: testsuite/common/bits-gen$(EXEEXT) testsuite/common/bits-tst.c
2540 $(AM_V_GEN)$< 64 63 little > $@.tmp
2541 $(AM_V_at)cat $(srcdir)/testsuite/common/bits-tst.c >> $@.tmp
2542 $(AM_V_at)mv $@.tmp $@
2543
2544 @SIM_ENABLE_ARCH_bpf_TRUE@bpf/mloop-le.c bpf/eng-le.h: bpf/stamp-mloop-le ; @true
2545 @SIM_ENABLE_ARCH_bpf_TRUE@bpf/stamp-mloop-le: $(srccom)/genmloop.sh bpf/mloop.in
2546 @SIM_ENABLE_ARCH_bpf_TRUE@ $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
2547 @SIM_ENABLE_ARCH_bpf_TRUE@ -mono -scache -prefix bpfbf_ebpfle -cpu bpfbf \
2548 @SIM_ENABLE_ARCH_bpf_TRUE@ -infile $(srcdir)/bpf/mloop.in \
2549 @SIM_ENABLE_ARCH_bpf_TRUE@ -outfile-prefix bpf/ -outfile-suffix -le
2550 @SIM_ENABLE_ARCH_bpf_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change bpf/eng-le.hin bpf/eng-le.h
2551 @SIM_ENABLE_ARCH_bpf_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change bpf/mloop-le.cin bpf/mloop-le.c
2552 @SIM_ENABLE_ARCH_bpf_TRUE@ $(AM_V_at)touch $@
2553
2554 @SIM_ENABLE_ARCH_bpf_TRUE@bpf/mloop-be.c bpf/eng-be.h: bpf/stamp-mloop-be ; @true
2555 @SIM_ENABLE_ARCH_bpf_TRUE@bpf/stamp-mloop-be: $(srccom)/genmloop.sh bpf/mloop.in
2556 @SIM_ENABLE_ARCH_bpf_TRUE@ $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
2557 @SIM_ENABLE_ARCH_bpf_TRUE@ -mono -scache -prefix bpfbf_ebpfbe -cpu bpfbf \
2558 @SIM_ENABLE_ARCH_bpf_TRUE@ -infile $(srcdir)/bpf/mloop.in \
2559 @SIM_ENABLE_ARCH_bpf_TRUE@ -outfile-prefix bpf/ -outfile-suffix -be
2560 @SIM_ENABLE_ARCH_bpf_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change bpf/eng-be.hin bpf/eng-be.h
2561 @SIM_ENABLE_ARCH_bpf_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change bpf/mloop-be.cin bpf/mloop-be.c
2562 @SIM_ENABLE_ARCH_bpf_TRUE@ $(AM_V_at)touch $@
2563
2564 # These rules are copied from automake, but tweaked to use FOR_BUILD variables.
2565 @SIM_ENABLE_ARCH_cr16_TRUE@cr16/gencode$(EXEEXT): $(cr16_gencode_OBJECTS) $(cr16_gencode_DEPENDENCIES) cr16/$(am__dirstamp)
2566 @SIM_ENABLE_ARCH_cr16_TRUE@ $(AM_V_CCLD)$(LINK_FOR_BUILD) $(cr16_gencode_OBJECTS) $(cr16_gencode_LDADD)
2567
2568 # gencode is a build-time only tool. Override the default rules for it.
2569 @SIM_ENABLE_ARCH_cr16_TRUE@cr16/gencode.o: cr16/gencode.c
2570 @SIM_ENABLE_ARCH_cr16_TRUE@ $(AM_V_CC)$(COMPILE_FOR_BUILD) -c $< -o $@
2571 @SIM_ENABLE_ARCH_cr16_TRUE@cr16/cr16-opc.o: ../opcodes/cr16-opc.c
2572 @SIM_ENABLE_ARCH_cr16_TRUE@ $(AM_V_CC)$(COMPILE_FOR_BUILD) -c $< -o $@
2573
2574 @SIM_ENABLE_ARCH_cr16_TRUE@cr16/simops.h: cr16/gencode$(EXEEXT)
2575 @SIM_ENABLE_ARCH_cr16_TRUE@ $(AM_V_GEN)$< -h >$@
2576
2577 @SIM_ENABLE_ARCH_cr16_TRUE@cr16/table.c: cr16/gencode$(EXEEXT)
2578 @SIM_ENABLE_ARCH_cr16_TRUE@ $(AM_V_GEN)$< >$@
2579
2580 @SIM_ENABLE_ARCH_cris_TRUE@cris/mloopv10f.c cris/engv10.h: cris/stamp-mloop-v10f ; @true
2581 @SIM_ENABLE_ARCH_cris_TRUE@cris/stamp-mloop-v10f: $(srccom)/genmloop.sh cris/mloop.in
2582 @SIM_ENABLE_ARCH_cris_TRUE@ $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
2583 @SIM_ENABLE_ARCH_cris_TRUE@ -mono -no-fast -pbb -switch semcrisv10f-switch.c \
2584 @SIM_ENABLE_ARCH_cris_TRUE@ -cpu crisv10f \
2585 @SIM_ENABLE_ARCH_cris_TRUE@ -infile $(srcdir)/cris/mloop.in -outfile-prefix cris/ -outfile-suffix -v10f
2586 @SIM_ENABLE_ARCH_cris_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change cris/eng-v10f.hin cris/engv10.h
2587 @SIM_ENABLE_ARCH_cris_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change cris/mloop-v10f.cin cris/mloopv10f.c
2588 @SIM_ENABLE_ARCH_cris_TRUE@ $(AM_V_at)touch $@
2589
2590 @SIM_ENABLE_ARCH_cris_TRUE@cris/mloopv32f.c cris/engv32.h: cris/stamp-mloop-v32f ; @true
2591 @SIM_ENABLE_ARCH_cris_TRUE@cris/stamp-mloop-v32f: $(srccom)/genmloop.sh cris/mloop.in
2592 @SIM_ENABLE_ARCH_cris_TRUE@ $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
2593 @SIM_ENABLE_ARCH_cris_TRUE@ -mono -no-fast -pbb -switch semcrisv32f-switch.c \
2594 @SIM_ENABLE_ARCH_cris_TRUE@ -cpu crisv32f \
2595 @SIM_ENABLE_ARCH_cris_TRUE@ -infile $(srcdir)/cris/mloop.in -outfile-prefix cris/ -outfile-suffix -v32f
2596 @SIM_ENABLE_ARCH_cris_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change cris/eng-v32f.hin cris/engv32.h
2597 @SIM_ENABLE_ARCH_cris_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change cris/mloop-v32f.cin cris/mloopv32f.c
2598 @SIM_ENABLE_ARCH_cris_TRUE@ $(AM_V_at)touch $@
2599
2600 # These rules are copied from automake, but tweaked to use FOR_BUILD variables.
2601 @SIM_ENABLE_ARCH_d10v_TRUE@d10v/gencode$(EXEEXT): $(d10v_gencode_OBJECTS) $(d10v_gencode_DEPENDENCIES) d10v/$(am__dirstamp)
2602 @SIM_ENABLE_ARCH_d10v_TRUE@ $(AM_V_CCLD)$(LINK_FOR_BUILD) $(d10v_gencode_OBJECTS) $(d10v_gencode_LDADD)
2603
2604 # gencode is a build-time only tool. Override the default rules for it.
2605 @SIM_ENABLE_ARCH_d10v_TRUE@d10v/gencode.o: d10v/gencode.c
2606 @SIM_ENABLE_ARCH_d10v_TRUE@ $(AM_V_CC)$(COMPILE_FOR_BUILD) -c $< -o $@
2607 @SIM_ENABLE_ARCH_d10v_TRUE@d10v/d10v-opc.o: ../opcodes/d10v-opc.c
2608 @SIM_ENABLE_ARCH_d10v_TRUE@ $(AM_V_CC)$(COMPILE_FOR_BUILD) -c $< -o $@
2609
2610 @SIM_ENABLE_ARCH_d10v_TRUE@d10v/simops.h: d10v/gencode$(EXEEXT)
2611 @SIM_ENABLE_ARCH_d10v_TRUE@ $(AM_V_GEN)$< -h >$@
2612
2613 @SIM_ENABLE_ARCH_d10v_TRUE@d10v/table.c: d10v/gencode$(EXEEXT)
2614 @SIM_ENABLE_ARCH_d10v_TRUE@ $(AM_V_GEN)$< >$@
2615
2616 @SIM_ENABLE_ARCH_frv_TRUE@frv/mloop.c frv/eng.h: frv/stamp-mloop ; @true
2617 @SIM_ENABLE_ARCH_frv_TRUE@frv/stamp-mloop: $(srccom)/genmloop.sh frv/mloop.in
2618 @SIM_ENABLE_ARCH_frv_TRUE@ $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
2619 @SIM_ENABLE_ARCH_frv_TRUE@ -mono -scache -parallel-generic-write -parallel-only \
2620 @SIM_ENABLE_ARCH_frv_TRUE@ -cpu frvbf \
2621 @SIM_ENABLE_ARCH_frv_TRUE@ -infile $(srcdir)/frv/mloop.in -outfile-prefix frv/
2622 @SIM_ENABLE_ARCH_frv_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change frv/eng.hin frv/eng.h
2623 @SIM_ENABLE_ARCH_frv_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change frv/mloop.cin frv/mloop.c
2624 @SIM_ENABLE_ARCH_frv_TRUE@ $(AM_V_at)touch $@
2625
2626 @SIM_ENABLE_ARCH_iq2000_TRUE@iq2000/mloop.c iq2000/eng.h: iq2000/stamp-mloop ; @true
2627 @SIM_ENABLE_ARCH_iq2000_TRUE@iq2000/stamp-mloop: $(srccom)/genmloop.sh iq2000/mloop.in
2628 @SIM_ENABLE_ARCH_iq2000_TRUE@ $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
2629 @SIM_ENABLE_ARCH_iq2000_TRUE@ -mono -fast -pbb -switch sem-switch.c \
2630 @SIM_ENABLE_ARCH_iq2000_TRUE@ -cpu iq2000bf \
2631 @SIM_ENABLE_ARCH_iq2000_TRUE@ -infile $(srcdir)/iq2000/mloop.in -outfile-prefix iq2000/
2632 @SIM_ENABLE_ARCH_iq2000_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change iq2000/eng.hin iq2000/eng.h
2633 @SIM_ENABLE_ARCH_iq2000_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change iq2000/mloop.cin iq2000/mloop.c
2634 @SIM_ENABLE_ARCH_iq2000_TRUE@ $(AM_V_at)touch $@
2635
2636 @SIM_ENABLE_ARCH_lm32_TRUE@lm32/mloop.c lm32/eng.h: lm32/stamp-mloop ; @true
2637 @SIM_ENABLE_ARCH_lm32_TRUE@lm32/stamp-mloop: $(srccom)/genmloop.sh lm32/mloop.in
2638 @SIM_ENABLE_ARCH_lm32_TRUE@ $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
2639 @SIM_ENABLE_ARCH_lm32_TRUE@ -mono -fast -pbb -switch sem-switch.c \
2640 @SIM_ENABLE_ARCH_lm32_TRUE@ -cpu lm32bf \
2641 @SIM_ENABLE_ARCH_lm32_TRUE@ -infile $(srcdir)/lm32/mloop.in -outfile-prefix lm32/
2642 @SIM_ENABLE_ARCH_lm32_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change lm32/eng.hin lm32/eng.h
2643 @SIM_ENABLE_ARCH_lm32_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change lm32/mloop.cin lm32/mloop.c
2644 @SIM_ENABLE_ARCH_lm32_TRUE@ $(AM_V_at)touch $@
2645
2646 # These rules are copied from automake, but tweaked to use FOR_BUILD variables.
2647 @SIM_ENABLE_ARCH_m32c_TRUE@m32c/opc2c$(EXEEXT): $(m32c_opc2c_OBJECTS) $(m32c_opc2c_DEPENDENCIES) m32c/$(am__dirstamp)
2648 @SIM_ENABLE_ARCH_m32c_TRUE@ $(AM_V_CCLD)$(LINK_FOR_BUILD) $(m32c_opc2c_OBJECTS) $(m32c_opc2c_LDADD)
2649
2650 # opc2c is a build-time only tool. Override the default rules for it.
2651 @SIM_ENABLE_ARCH_m32c_TRUE@m32c/opc2c.o: m32c/opc2c.c
2652 @SIM_ENABLE_ARCH_m32c_TRUE@ $(AM_V_CC)$(COMPILE_FOR_BUILD) -c $< -o $@
2653
2654 @SIM_ENABLE_ARCH_m32c_TRUE@m32c/m32c.c: m32c/m32c.opc m32c/opc2c$(EXEEXT)
2655 @SIM_ENABLE_ARCH_m32c_TRUE@ $(AM_V_GEN)$(m32c_OPC2C_RUN) -l $@.log $< > $@.tmp
2656 @SIM_ENABLE_ARCH_m32c_TRUE@ $(AM_V_at)mv $@.tmp $@
2657
2658 @SIM_ENABLE_ARCH_m32c_TRUE@m32c/r8c.c: m32c/r8c.opc m32c/opc2c$(EXEEXT)
2659 @SIM_ENABLE_ARCH_m32c_TRUE@ $(AM_V_GEN)$(m32c_OPC2C_RUN) -l $@.log $< > $@.tmp
2660 @SIM_ENABLE_ARCH_m32c_TRUE@ $(AM_V_at)mv $@.tmp $@
2661
2662 @SIM_ENABLE_ARCH_m32r_TRUE@m32r/mloop.c m32r/eng.h: m32r/stamp-mloop ; @true
2663 @SIM_ENABLE_ARCH_m32r_TRUE@m32r/stamp-mloop: $(srccom)/genmloop.sh m32r/mloop.in
2664 @SIM_ENABLE_ARCH_m32r_TRUE@ $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
2665 @SIM_ENABLE_ARCH_m32r_TRUE@ -mono -fast -pbb -switch sem-switch.c \
2666 @SIM_ENABLE_ARCH_m32r_TRUE@ -cpu m32rbf \
2667 @SIM_ENABLE_ARCH_m32r_TRUE@ -infile $(srcdir)/m32r/mloop.in -outfile-prefix m32r/
2668 @SIM_ENABLE_ARCH_m32r_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change m32r/eng.hin m32r/eng.h
2669 @SIM_ENABLE_ARCH_m32r_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change m32r/mloop.cin m32r/mloop.c
2670 @SIM_ENABLE_ARCH_m32r_TRUE@ $(AM_V_at)touch $@
2671
2672 @SIM_ENABLE_ARCH_m32r_TRUE@m32r/mloopx.c m32r/engx.h: m32r/stamp-mloop ; @true
2673 @SIM_ENABLE_ARCH_m32r_TRUE@m32r/stamp-mloop-x: $(srccom)/genmloop.sh m32r/mloop.in
2674 @SIM_ENABLE_ARCH_m32r_TRUE@ $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
2675 @SIM_ENABLE_ARCH_m32r_TRUE@ -mono -no-fast -pbb -parallel-write -switch semx-switch.c \
2676 @SIM_ENABLE_ARCH_m32r_TRUE@ -cpu m32rxf \
2677 @SIM_ENABLE_ARCH_m32r_TRUE@ -infile $(srcdir)/m32r/mloopx.in -outfile-prefix m32r/ -outfile-suffix x
2678 @SIM_ENABLE_ARCH_m32r_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change m32r/engx.hin m32r/engx.h
2679 @SIM_ENABLE_ARCH_m32r_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change m32r/mloopx.cin m32r/mloopx.c
2680 @SIM_ENABLE_ARCH_m32r_TRUE@ $(AM_V_at)touch $@
2681
2682 @SIM_ENABLE_ARCH_m32r_TRUE@m32r/mloop2.c m32r/eng2.h: m32r/stamp-mloop ; @true
2683 @SIM_ENABLE_ARCH_m32r_TRUE@m32r/stamp-mloop-2: $(srccom)/genmloop.sh m32r/mloop.in
2684 @SIM_ENABLE_ARCH_m32r_TRUE@ $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
2685 @SIM_ENABLE_ARCH_m32r_TRUE@ -mono -no-fast -pbb -parallel-write -switch sem2-switch.c \
2686 @SIM_ENABLE_ARCH_m32r_TRUE@ -cpu m32r2f \
2687 @SIM_ENABLE_ARCH_m32r_TRUE@ -infile $(srcdir)/m32r/mloop2.in -outfile-prefix m32r/ -outfile-suffix 2
2688 @SIM_ENABLE_ARCH_m32r_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change m32r/eng2.hin m32r/eng2.h
2689 @SIM_ENABLE_ARCH_m32r_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change m32r/mloop2.cin m32r/mloop2.c
2690 @SIM_ENABLE_ARCH_m32r_TRUE@ $(AM_V_at)touch $@
2691
2692 # These rules are copied from automake, but tweaked to use FOR_BUILD variables.
2693 @SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11/gencode$(EXEEXT): $(m68hc11_gencode_OBJECTS) $(m68hc11_gencode_DEPENDENCIES) m68hc11/$(am__dirstamp)
2694 @SIM_ENABLE_ARCH_m68hc11_TRUE@ $(AM_V_CCLD)$(LINK_FOR_BUILD) $(m68hc11_gencode_OBJECTS) $(m68hc11_gencode_LDADD)
2695
2696 # gencode is a build-time only tool. Override the default rules for it.
2697 @SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11/gencode.o: m68hc11/gencode.c
2698 @SIM_ENABLE_ARCH_m68hc11_TRUE@ $(AM_V_CC)$(COMPILE_FOR_BUILD) -c $< -o $@
2699
2700 @SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11/m68hc11int.c: m68hc11/gencode$(EXEEXT)
2701 @SIM_ENABLE_ARCH_m68hc11_TRUE@ $(AM_V_GEN)$< -m6811 >$@
2702
2703 @SIM_ENABLE_ARCH_m68hc11_TRUE@m68hc11/m68hc12int.c: m68hc11/gencode$(EXEEXT)
2704 @SIM_ENABLE_ARCH_m68hc11_TRUE@ $(AM_V_GEN)$< -m6812 >$@
2705
2706 @SIM_ENABLE_ARCH_mn10300_TRUE@$(mn10300_BUILT_SRC_FROM_IGEN): mn10300/stamp-igen
2707 @SIM_ENABLE_ARCH_mn10300_TRUE@mn10300/stamp-igen: $(mn10300_IGEN_INSN) $(mn10300_IGEN_INSN_INC) $(mn10300_IGEN_DC) $(IGEN)
2708 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_GEN)$(IGEN_RUN) \
2709 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(mn10300_IGEN_TRACE) \
2710 @SIM_ENABLE_ARCH_mn10300_TRUE@ -G gen-direct-access \
2711 @SIM_ENABLE_ARCH_mn10300_TRUE@ -M mn10300,am33 -G gen-multi-sim=am33 \
2712 @SIM_ENABLE_ARCH_mn10300_TRUE@ -M am33_2 \
2713 @SIM_ENABLE_ARCH_mn10300_TRUE@ -I $(srcdir)/mn10300 \
2714 @SIM_ENABLE_ARCH_mn10300_TRUE@ -i $(mn10300_IGEN_INSN) \
2715 @SIM_ENABLE_ARCH_mn10300_TRUE@ -o $(mn10300_IGEN_DC) \
2716 @SIM_ENABLE_ARCH_mn10300_TRUE@ -x \
2717 @SIM_ENABLE_ARCH_mn10300_TRUE@ -n icache.h -hc mn10300/tmp-icache.h \
2718 @SIM_ENABLE_ARCH_mn10300_TRUE@ -n icache.c -c mn10300/tmp-icache.c \
2719 @SIM_ENABLE_ARCH_mn10300_TRUE@ -n semantics.h -hs mn10300/tmp-semantics.h \
2720 @SIM_ENABLE_ARCH_mn10300_TRUE@ -n semantics.c -s mn10300/tmp-semantics.c \
2721 @SIM_ENABLE_ARCH_mn10300_TRUE@ -n idecode.h -hd mn10300/tmp-idecode.h \
2722 @SIM_ENABLE_ARCH_mn10300_TRUE@ -n idecode.c -d mn10300/tmp-idecode.c \
2723 @SIM_ENABLE_ARCH_mn10300_TRUE@ -n model.h -hm mn10300/tmp-model.h \
2724 @SIM_ENABLE_ARCH_mn10300_TRUE@ -n model.c -m mn10300/tmp-model.c \
2725 @SIM_ENABLE_ARCH_mn10300_TRUE@ -n support.h -hf mn10300/tmp-support.h \
2726 @SIM_ENABLE_ARCH_mn10300_TRUE@ -n support.c -f mn10300/tmp-support.c \
2727 @SIM_ENABLE_ARCH_mn10300_TRUE@ -n itable.h -ht mn10300/tmp-itable.h \
2728 @SIM_ENABLE_ARCH_mn10300_TRUE@ -n itable.c -t mn10300/tmp-itable.c \
2729 @SIM_ENABLE_ARCH_mn10300_TRUE@ -n engine.h -he mn10300/tmp-engine.h \
2730 @SIM_ENABLE_ARCH_mn10300_TRUE@ -n engine.c -e mn10300/tmp-engine.c \
2731 @SIM_ENABLE_ARCH_mn10300_TRUE@ -n irun.c -r mn10300/tmp-irun.c
2732 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change mn10300/tmp-icache.h mn10300/icache.h
2733 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change mn10300/tmp-icache.c mn10300/icache.c
2734 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change mn10300/tmp-idecode.h mn10300/idecode.h
2735 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change mn10300/tmp-idecode.c mn10300/idecode.c
2736 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change mn10300/tmp-semantics.h mn10300/semantics.h
2737 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change mn10300/tmp-semantics.c mn10300/semantics.c
2738 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change mn10300/tmp-model.h mn10300/model.h
2739 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change mn10300/tmp-model.c mn10300/model.c
2740 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change mn10300/tmp-support.h mn10300/support.h
2741 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change mn10300/tmp-support.c mn10300/support.c
2742 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change mn10300/tmp-itable.h mn10300/itable.h
2743 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change mn10300/tmp-itable.c mn10300/itable.c
2744 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change mn10300/tmp-engine.h mn10300/engine.h
2745 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change mn10300/tmp-engine.c mn10300/engine.c
2746 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change mn10300/tmp-irun.c mn10300/irun.c
2747 @SIM_ENABLE_ARCH_mn10300_TRUE@ $(AM_V_at)touch $@
2748
2749 @SIM_ENABLE_ARCH_moxie_TRUE@moxie/moxie-gdb.dtb: @MAINT@ moxie/moxie-gdb.dts moxie/$(am__dirstamp)
2750 @SIM_ENABLE_ARCH_moxie_TRUE@ $(AM_V_GEN) \
2751 @SIM_ENABLE_ARCH_moxie_TRUE@ if test "x$(DTC)" != x; then \
2752 @SIM_ENABLE_ARCH_moxie_TRUE@ $(DTC) -O dtb -o $@.tmp ${srcdir}/moxie/moxie-gdb.dts || exit 1; \
2753 @SIM_ENABLE_ARCH_moxie_TRUE@ $(SHELL) $(srcroot)/move-if-change $@.tmp ${srcdir}/moxie/moxie-gdb.dtb || exit 1; \
2754 @SIM_ENABLE_ARCH_moxie_TRUE@ touch ${srcdir}/moxie/moxie-gdb.dtb; \
2755 @SIM_ENABLE_ARCH_moxie_TRUE@ else \
2756 @SIM_ENABLE_ARCH_moxie_TRUE@ echo "Could not update the moxie-gdb.dtb file because the device "; \
2757 @SIM_ENABLE_ARCH_moxie_TRUE@ echo "tree compiler tool (dtc) is missing. Install the tool to "; \
2758 @SIM_ENABLE_ARCH_moxie_TRUE@ echo "update the device tree blob."; \
2759 @SIM_ENABLE_ARCH_moxie_TRUE@ fi
2760
2761 @SIM_ENABLE_ARCH_or1k_TRUE@or1k/mloop.c or1k/eng.h: or1k/stamp-mloop ; @true
2762 @SIM_ENABLE_ARCH_or1k_TRUE@or1k/stamp-mloop: $(srccom)/genmloop.sh or1k/mloop.in
2763 @SIM_ENABLE_ARCH_or1k_TRUE@ $(AM_V_GEN)$(SHELL) $(srccom)/genmloop.sh -shell $(SHELL) \
2764 @SIM_ENABLE_ARCH_or1k_TRUE@ -mono -fast -pbb -switch sem-switch.c \
2765 @SIM_ENABLE_ARCH_or1k_TRUE@ -cpu or1k32bf \
2766 @SIM_ENABLE_ARCH_or1k_TRUE@ -infile $(srcdir)/or1k/mloop.in -outfile-prefix or1k/
2767 @SIM_ENABLE_ARCH_or1k_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change or1k/eng.hin or1k/eng.h
2768 @SIM_ENABLE_ARCH_or1k_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change or1k/mloop.cin or1k/mloop.c
2769 @SIM_ENABLE_ARCH_or1k_TRUE@ $(AM_V_at)touch $@
2770
2771 # These rules are copied from automake, but tweaked to use FOR_BUILD variables.
2772 @SIM_ENABLE_ARCH_sh_TRUE@sh/gencode$(EXEEXT): $(sh_gencode_OBJECTS) $(sh_gencode_DEPENDENCIES) sh/$(am__dirstamp)
2773 @SIM_ENABLE_ARCH_sh_TRUE@ $(AM_V_CCLD)$(LINK_FOR_BUILD) $(sh_gencode_OBJECTS) $(sh_gencode_LDADD)
2774
2775 # gencode is a build-time only tool. Override the default rules for it.
2776 @SIM_ENABLE_ARCH_sh_TRUE@sh/gencode.o: sh/gencode.c
2777 @SIM_ENABLE_ARCH_sh_TRUE@ $(AM_V_CC)$(COMPILE_FOR_BUILD) -c $< -o $@
2778
2779 @SIM_ENABLE_ARCH_sh_TRUE@sh/code.c: sh/gencode$(EXEEXT)
2780 @SIM_ENABLE_ARCH_sh_TRUE@ $(AM_V_GEN)$< -x >$@
2781
2782 @SIM_ENABLE_ARCH_sh_TRUE@sh/ppi.c: sh/gencode$(EXEEXT)
2783 @SIM_ENABLE_ARCH_sh_TRUE@ $(AM_V_GEN)$< -p >$@
2784
2785 @SIM_ENABLE_ARCH_sh_TRUE@sh/table.c: sh/gencode$(EXEEXT)
2786 @SIM_ENABLE_ARCH_sh_TRUE@ $(AM_V_GEN)$< -s >$@
2787
2788 @SIM_ENABLE_ARCH_v850_TRUE@$(v850_BUILT_SRC_FROM_IGEN): v850/stamp-igen
2789 @SIM_ENABLE_ARCH_v850_TRUE@v850/stamp-igen: $(v850_IGEN_INSN) $(v850_IGEN_DC) $(IGEN)
2790 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_GEN)$(IGEN_RUN) \
2791 @SIM_ENABLE_ARCH_v850_TRUE@ $(v850_IGEN_TRACE) \
2792 @SIM_ENABLE_ARCH_v850_TRUE@ -G gen-direct-access \
2793 @SIM_ENABLE_ARCH_v850_TRUE@ -G gen-zero-r0 \
2794 @SIM_ENABLE_ARCH_v850_TRUE@ -i $(v850_IGEN_INSN) \
2795 @SIM_ENABLE_ARCH_v850_TRUE@ -o $(v850_IGEN_DC) \
2796 @SIM_ENABLE_ARCH_v850_TRUE@ -x \
2797 @SIM_ENABLE_ARCH_v850_TRUE@ -n icache.h -hc v850/tmp-icache.h \
2798 @SIM_ENABLE_ARCH_v850_TRUE@ -n icache.c -c v850/tmp-icache.c \
2799 @SIM_ENABLE_ARCH_v850_TRUE@ -n semantics.h -hs v850/tmp-semantics.h \
2800 @SIM_ENABLE_ARCH_v850_TRUE@ -n semantics.c -s v850/tmp-semantics.c \
2801 @SIM_ENABLE_ARCH_v850_TRUE@ -n idecode.h -hd v850/tmp-idecode.h \
2802 @SIM_ENABLE_ARCH_v850_TRUE@ -n idecode.c -d v850/tmp-idecode.c \
2803 @SIM_ENABLE_ARCH_v850_TRUE@ -n model.h -hm v850/tmp-model.h \
2804 @SIM_ENABLE_ARCH_v850_TRUE@ -n model.c -m v850/tmp-model.c \
2805 @SIM_ENABLE_ARCH_v850_TRUE@ -n support.h -hf v850/tmp-support.h \
2806 @SIM_ENABLE_ARCH_v850_TRUE@ -n support.c -f v850/tmp-support.c \
2807 @SIM_ENABLE_ARCH_v850_TRUE@ -n itable.h -ht v850/tmp-itable.h \
2808 @SIM_ENABLE_ARCH_v850_TRUE@ -n itable.c -t v850/tmp-itable.c \
2809 @SIM_ENABLE_ARCH_v850_TRUE@ -n engine.h -he v850/tmp-engine.h \
2810 @SIM_ENABLE_ARCH_v850_TRUE@ -n engine.c -e v850/tmp-engine.c \
2811 @SIM_ENABLE_ARCH_v850_TRUE@ -n irun.c -r v850/tmp-irun.c
2812 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change v850/tmp-icache.h v850/icache.h
2813 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change v850/tmp-icache.c v850/icache.c
2814 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change v850/tmp-idecode.h v850/idecode.h
2815 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change v850/tmp-idecode.c v850/idecode.c
2816 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change v850/tmp-semantics.h v850/semantics.h
2817 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change v850/tmp-semantics.c v850/semantics.c
2818 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change v850/tmp-model.h v850/model.h
2819 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change v850/tmp-model.c v850/model.c
2820 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change v850/tmp-support.h v850/support.h
2821 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change v850/tmp-support.c v850/support.c
2822 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change v850/tmp-itable.h v850/itable.h
2823 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change v850/tmp-itable.c v850/itable.c
2824 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change v850/tmp-engine.h v850/engine.h
2825 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change v850/tmp-engine.c v850/engine.c
2826 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_at)$(SHELL) $(srcroot)/move-if-change v850/tmp-irun.c v850/irun.c
2827 @SIM_ENABLE_ARCH_v850_TRUE@ $(AM_V_at)touch $@
2828
2829 all-recursive: $(SIM_ALL_RECURSIVE_DEPS)
2830
2831 # Tell versions [3.59,3.63) of GNU make to not export all variables.
2832 # Otherwise a system limit (for SysV at least) may be exceeded.
2833 .NOEXPORT: